Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / opt_clean_init.ys
2021-03-15 Marcelina Koƛcielnickaopt_clean: Remove init attribute bits together with...
2020-06-04 whitequarkMerge pull request #2006 from jersey99/signed-in-rtlil...
2020-06-03 Peter CrozierMerge branch 'master' into struct
2020-05-31 clairexenMerge pull request #1862 from boqwxp/cleanup_techmap
2020-05-29 clairexenMerge pull request #2029 from whitequark/fix-simplify...
2020-05-29 whitequarkMerge pull request #2033 from boqwxp/cleanup-verilog...
2020-05-28 whitequarkMerge pull request #2051 from Xiretza/makefile-cd-warning
2020-05-28 whitequarkMerge pull request #2031 from epfl-vlsc/master
2020-05-25 clairexenMerge pull request #2015 from boqwxp/qbfsat-bisection
2020-05-21 Eddie HungMerge pull request #2057 from YosysHQ/eddie/fix_task_attr
2020-05-20 N. EngelhardtMerge pull request #2046 from PeterCrozier/trap
2020-05-14 Eddie HungMerge pull request #1994 from YosysHQ/eddie/fix_bug1758
2020-05-14 Eddie HungMerge pull request #2045 from YosysHQ/eddie/fix2042
2020-05-14 Claire WolfMerge pull request #2052 from YosysHQ/claire/verific_memfix
2020-05-14 Claire WolfMerge pull request #2050 from YosysHQ/eddie/opt_clean_fixes
2020-05-14 Eddie Hungopt_clean: improve warning message
2020-05-14 Eddie Hungopt_clean: add init test