Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / opt_clean_mem.ys
2021-08-11 Marcelina Kościelnickaproc_memwr: Use the v2 memwr cell.
2021-07-28 Marcelina Kościelnickaverilog: Emit $meminit_v2 cell.
2021-03-11 whitequarkMerge pull request #2642 from whitequark/cxxrtl-noproc...
2021-03-09 whitequarkMerge pull request #2643 from zachjs/fix-param-no-defau...
2021-03-08 Marcelina Kościelnickamemory_dff: Remove now-useless write port handling. working-ls180
2020-11-25 Claire XenMerge pull request #2133 from dh73/nodev_head
2020-11-24 Miodrag MilanovićMerge pull request #2295 from epfl-vlsc/firrtl_blackbox...
2020-10-20 clairexenMerge pull request #2405 from byuccl/fix_xilinx_cells
2020-10-19 Miodrag MilanovićMerge pull request #2397 from daveshah1/nexus
2020-10-15 clairexenMerge pull request #2398 from jakobwenzel/smtbmc-escape
2020-10-08 Marcelina Kościelnickaopt_clean: Better memory handling.