Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / opt_dff_dffmux.ys
2020-11-25 Claire XenMerge pull request #2133 from dh73/nodev_head
2020-11-24 Miodrag MilanovićMerge pull request #2295 from epfl-vlsc/firrtl_blackbox...
2020-09-17 clairexenMerge pull request #2329 from antmicro/arrays-fix-multi...
2020-09-17 clairexenMerge pull request #2330 from antmicro/arrays-fix-multi...
2020-08-22 clairexenMerge pull request #2349 from nmoroze/smt2-bugfix
2020-08-20 clairexenMerge pull request #2347 from YosysHQ/mwk/techmap-shift...
2020-08-20 clairexenMerge pull request #2344 from YosysHQ/mwk/opt_share...
2020-08-20 clairexenMerge pull request #2337 from YosysHQ/mwk/clean-keep...
2020-08-20 clairexenMerge pull request #2333 from YosysHQ/mwk/peepopt-shift...
2020-08-20 clairexenMerge pull request #2328 from YosysHQ/mwk/opt_dff-cleanup
2020-08-07 Marcelina Kościelnickapeepopt: Remove now-redundant dffmux pattern.