Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / opt_expr_cmp.v
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-02-11 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-01-03 Clifford WolfMerge pull request #775 from whitequark/opt_flowmap
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 whitequarkopt_expr: improve simplification of comparisons with...
2019-01-02 whitequarkopt_expr: refactor simplification of unsigned X<onehot...
2019-01-02 whitequarkopt_expr: refactor simplification of signed X>=0 and...
2019-01-02 whitequarkopt_expr: simplify any unsigned comparisons with all...