Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / opt_lut_elim.ys
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-02-11 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-01 Clifford WolfMerge pull request #768 from whitequark/opt_lut_elim
2018-12-31 whitequarkopt_lut: eliminate LUTs evaluating to constants or...