Update example for GW1NR-9
[yosys.git] / tests / opt /
2019-08-29 SergeyMerge pull request #3 from YosysHQ/Sergey/tests_ice40
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/clifford/async2syn...
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-22 Eddie HungMerge pull request #1319 from TeaEngineering/shuckc...
2019-08-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-22 Eddie HungMerge pull request #1317 from YosysHQ/eddie/opt_expr_shiftx
2019-08-22 Clifford WolfMerge pull request #1289 from mmicko/anlogic_fixes
2019-08-22 Clifford WolfMerge pull request #1281 from mmicko/efinix
2019-08-22 Eddie HungRespect opt_expr -keepdc as per @cliffordwolf
2019-08-22 Eddie HungHandle $shift and Y_WIDTH > 1 as per @cliffordwolf
2019-08-22 Eddie HungAdd test
2019-08-20 Eddie HungMerge pull request #1304 from YosysHQ/eddie/abc9_refactor
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-19 Clifford WolfMerge pull request #1306 from mmicko/gitignore_fix
2019-08-19 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-08-19 Clifford WolfMerge pull request #1305 from YosysHQ/clifford/testfast
2019-08-19 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-08-18 Miodrag MilanovicMerge remote-tracking branch 'upstream/master' into...
2019-08-18 whitequarkMerge pull request #1290 from YosysHQ/eddie/pr1266_again
2019-08-18 whitequarkMerge branch 'master' into eddie/pr1266_again
2019-08-17 Clifford WolfMerge pull request #1283 from YosysHQ/clifford/fix1255
2019-08-17 Clifford WolfMerge pull request #1303 from YosysHQ/bogdanvuk/opt_share
2019-08-16 Eddie HungMerge https://github.com/bogdanvuk/yosys into bogdanvuk...
2019-08-12 Eddie HungRevert "Merge pull request #1280 from YosysHQ/revert...
2019-08-12 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-10 Eddie HungMerge pull request #1280 from YosysHQ/revert-1266-eddie...
2019-08-10 David ShahRevert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"
2019-08-10 Clifford WolfMerge pull request #1258 from YosysHQ/eddie/cleanup
2019-08-10 Clifford WolfMerge pull request #1261 from YosysHQ/clifford/verific_init
2019-08-10 Clifford WolfMerge pull request #1263 from ucb-bar/firrtl_err_on_uns...
2019-08-10 Clifford WolfMerge pull request #1270 from YosysHQ/eddie/alu_lcu_doc
2019-08-09 Eddie HungAdd $alu tests
2019-08-09 Eddie HungAdd alumacc versions of opt_expr tests
2019-08-09 Eddie HungAdd new $alu test, remove wreduce
2019-08-09 Eddie HungCleanup some more
2019-08-09 whitequarkMerge pull request #1267 from whitequark/proc_prune...
2019-08-09 Eddie HungSimplify opt_expr tests using equiv_opt
2019-08-09 Miodrag MilanovicMerge remote-tracking branch 'upstream/master' into...
2019-08-08 Eddie HungMerge pull request #1264 from YosysHQ/eddie/fix_1254
2019-08-08 Eddie HungMerge pull request #1266 from YosysHQ/eddie/ice40_full_...
2019-08-08 Eddie HungMove tests/various/opt* into tests/opt/
2019-08-08 Eddie HungRemove ice40_unlut call, simply do equiv_opt on synth_ice40
2019-08-07 Eddie HungRemove tests/opt/opt_ff.{v,ys} as they don't seem to...
2019-08-07 Bogdan VukobratovicFix wrong results when opt_share called before opt_clean
2019-08-03 Bogdan VukobratovicTabs to spaces in opt_share examples
2019-08-03 Bogdan VukobratovicFix spacing in opt_share tests, change wording in opt_s...
2019-07-28 Bogdan VukobratovicReimplement opt_share to work on $alu and $pmux
2019-07-26 Bogdan VukobratovicImplement opt_share
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge pull request #1098 from YosysHQ/xaig
2019-06-28 Clifford WolfMerge pull request #1146 from gsomlo/gls-test-abc-ext
2019-06-28 Clifford WolfMerge pull request #1046 from bogdanvuk/master
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-20 Clifford WolfRefactor "opt_rmdff -sat"
2019-06-20 Clifford WolfMerge branch 'master' of https://github.com/bogdanvuk...
2019-06-14 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-05-28 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-05-28 Bogdan VukobratovicOptimizing DFFs whose initial value prevents their...
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-26 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-02-26 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-24 Clifford WolfMerge pull request #824 from litghost/fix_reduce_on_ff
2019-02-22 Keith RothmanFix WREDUCE on FF not fixing ARST_VALUE parameter.
2019-02-11 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-01-03 Clifford WolfMerge pull request #775 from whitequark/opt_flowmap
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 whitequarkopt_expr: improve simplification of comparisons with...
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-02 whitequarkopt_expr: refactor simplification of unsigned X<onehot...
2019-01-02 whitequarkopt_expr: refactor simplification of signed X>=0 and...
2019-01-02 whitequarkopt_expr: simplify any unsigned comparisons with all...
2019-01-01 Clifford WolfMerge pull request #768 from whitequark/opt_lut_elim
2018-12-31 whitequarkopt_lut: eliminate LUTs evaluating to constants or...
2018-12-18 Jim LawsonMerge remote-tracking branch 'upstream/master'
2018-12-16 Clifford WolfMerge pull request #736 from whitequark/select_assert_list
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-16 Clifford WolfMerge pull request #738 from smunaut/issue_737
2018-12-16 Clifford WolfMerge pull request #735 from daveshah1/trifixes
2018-12-16 Clifford WolfMerge pull request #724 from whitequark/equiv_opt
2018-12-16 Clifford WolfMerge pull request #725 from olofk/ram4k-init
2018-12-16 Clifford WolfMerge pull request #714 from daveshah1/abc_preserve_naming
2018-12-16 Clifford WolfMerge pull request #723 from whitequark/synth_ice40_map...
2018-12-16 Clifford WolfMerge pull request #722 from whitequark/rename_src
2018-12-16 Clifford WolfMerge pull request #720 from whitequark/master
2018-12-07 whitequarkequiv_opt: pass -D EQUIV when techmapping.
2018-12-07 whitequarkequiv_opt: new command, for verifying optimization...
2018-12-07 David ShahMerge pull request #727 from whitequark/opt_lut
2018-12-07 whitequarkopt_lut: leave intact LUTs with cascade feeding module...
2018-12-05 Clifford WolfMerge pull request #709 from smunaut/issue_708
2018-12-05 Clifford WolfMerge pull request #713 from Diego-HR/master
2018-12-05 Clifford WolfMerge pull request #712 from mmicko/anlogic-support
2018-12-05 Clifford WolfMerge pull request #717 from whitequark/opt_lut
2018-12-05 whitequarkopt_lut: add -dlogic, to avoid disturbing logic such...
next