Merge pull request #195 from jix/sbyproc-truncated-output
[SymbiYosys.git] / tests / regression / ff_xinit_opt.sby
2022-07-04 Jannis HarderMerge pull request #186 from jix/ff_xinit_opt
2022-07-04 Jannis Hardertests: Test for invalid x-value FF init optimizations