reformat wand/wor test
[yosys.git] / tests / sat / expose_dff.ys
2014-02-08 Clifford WolfAdded test cases for expose -evert-dff