fail svinterfaces testcases on yosys error exit
[yosys.git] / tests / simple / run-test.sh
2016-09-23 Clifford WolfMerge branch 'master' of https://github.com/brouhaha...
2016-09-22 Eric SmithAdd optional SEED=n command line option to Makefile...
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-07-30 Clifford WolfAdded "make -j{N}" support to "make test"
2014-07-16 Clifford WolfAdded note to "make test": use git checkout of iverilog
2014-06-15 Clifford WolfAdded tests/realmath to "make test"
2013-03-17 Clifford WolfMerge branch 'hansi'
2013-03-17 Johann Glaseradded ckeck for Icarus Verilog, otherwise the tests...
2013-01-05 Clifford Wolfinitial import