Merge pull request #1124 from mmicko/json_ports
[yosys.git] / tests / sva / .gitignore
2017-07-28 Clifford WolfAdd simple VHDL+PSL example
2017-07-27 Clifford WolfImprove SVA tests, add Makefile and scripts