Test *.aag too, by using *.aig as reference
[yosys.git] / tests / sva / sva_range.sv
2018-02-28 Clifford WolfMerge branch 'verificsva-ng'
2018-02-27 Clifford WolfMajor redesign of Verific SVA importer
2018-02-18 Clifford WolfAdd support for SVA sequence concatenation ranges via...