Merge pull request #1124 from mmicko/json_ports
[yosys.git] / tests / sva / sva_throughout.sv
2018-02-21 Clifford WolfAdd support for SVA throughout via Verific