Add ability to override verilog mode for verific -f command
[yosys.git] / tests / svinterfaces / load_and_derive.sv
2021-07-15 Rupert SwarbrickAdd a test for interfaces on modules loaded on-demand