Merge remote-tracking branch 'origin/master' into xc7mux
[yosys.git] / tests / techmap /
2019-02-20 Eddie HungMove tests/techmap/abc9 to simple_abc9
2019-02-19 Eddie HungAdd a quick abc9 test
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-09-25 Clifford WolfAdded read-enable to memory model
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-02-14 Clifford WolfAdded $meminit support to "memory" command
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-07-16 Clifford WolfMerged new $mem/$memwr WR_EN interface
2014-07-16 Clifford WolfChanged tests/techmap/mem_simple_4x1_map for new $mem...
2014-03-11 Siesh1ooRebase to cliffordwolf repo HEAD finished.
2014-03-11 Clifford WolfFixed yosys path in tests/techmap/mem_simple_4x1_runtest.sh
2014-03-11 Clifford WolfUse "verilog -noattr" in tests/techmap/mem_simple_4x1...
2014-02-21 Clifford WolfUse private namespace in mem_simple_4x1_map
2014-02-21 Clifford WolfAdded tests/techmap/mem_simple_4x1