add test for yosys's $divfloor and $modfloor cells
[SymbiYosys.git] / tests / unsorted / floor_divmod.sby
2022-05-25 Jacob Lifshayadd test for yosys's $divfloor and $modfloor cells