Allow constant function calls in constant function arguments
[yosys.git] / tests / various / gen_if_null.v
2020-06-04 whitequarkMerge pull request #2006 from jersey99/signed-in-rtlil...
2020-05-31 clairexenMerge pull request #1862 from boqwxp/cleanup_techmap
2020-05-29 clairexenMerge pull request #2029 from whitequark/fix-simplify...
2020-05-29 whitequarkMerge pull request #2033 from boqwxp/cleanup-verilog...
2020-05-28 whitequarkMerge pull request #2031 from epfl-vlsc/master
2020-05-25 clairexenMerge pull request #2015 from boqwxp/qbfsat-bisection
2020-05-14 Eddie HungMerge pull request #1994 from YosysHQ/eddie/fix_bug1758
2020-05-14 Claire WolfMerge pull request #2027 from YosysHQ/eddie/verilog_neg...
2020-05-07 Claire WolfMerge pull request #2005 from YosysHQ/claire/fix1990
2020-05-07 Eddie HungMerge pull request #2034 from YosysHQ/eddie/abc_remote
2020-05-06 Eddie HungMerge pull request #2028 from zachjs/master
2020-05-06 Zachary Snowverilog: allow null gen-if then block