Merge pull request #1384 from YosysHQ/clifford/fix1381
[yosys.git] / tests /
2019-09-19 Marcin KościelnickiAdded extractinv pass
2019-09-18 Eddie HungMerge pull request #1355 from YosysHQ/eddie/peepopt_dff...
2019-09-14 Eddie HungOops
2019-09-13 Eddie HungAdd counter-example from @cliffordwolf
2019-09-13 Eddie HungRevert "Make one check $shift(x)? only; change testcase...
2019-09-13 Clifford WolfMerge pull request #1373 from YosysHQ/clifford/fix1364
2019-09-12 David ShahMerge pull request #1370 from YosysHQ/dave/equiv_opt_mu...
2019-09-11 Eddie HungCope with presence of reset muxes too
2019-09-11 Eddie HungAdd more tests
2019-09-11 Marcin KościelnickiAdd -match-init option to dff2dffs.
2019-09-11 David ShahAdd equiv_opt -multiclock
2019-09-11 David ShahMerge pull request #1362 from xobs/smtbmc-msvc2-build...
2019-09-11 Eddie Hungproc instead of prep
2019-09-11 Eddie HungAdd unsigned case
2019-09-10 Sean Crosstests: ice40: fix div_mod SB_LUT4 count
2019-09-07 Marcin Kościelnickitechmap: Add support for extracting init values of...
2019-09-07 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-07 Eddie HungAdd missing -assert to equiv_opt
2019-09-07 Eddie HungMissing equiv_opt -assert
2019-09-07 Eddie HungMake one check $shift(x)? only; change testcase to...
2019-09-07 Eddie HungUsee equiv_opt -assert
2019-09-05 Eddie HungMerge pull request #1312 from YosysHQ/xaig_arrival
2019-09-05 Clifford WolfMerge pull request #1350 from YosysHQ/clifford/fixsby59
2019-09-05 Eddie Hungsimple/peepopt.v tests to various/peepopt.ys with equiv...
2019-09-05 Eddie HungRevert "abc9 followed by clean otherwise netlist could...
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-04 Eddie Hungabc9 followed by clean otherwise netlist could be inval...
2019-09-04 Eddie HungAdd peepopt_dffmuxext tests
2019-09-04 whitequarkMerge pull request #1354 from emilazy/remove-which-use
2019-09-04 Eddie HungMerge pull request #1338 from YosysHQ/eddie/deferred_top
2019-09-03 Eddie HungExpand test with `hierarchy' without -auto-top
2019-09-03 Eddie HungAdd `read -noverific` before read
2019-09-03 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-09-03 Clifford WolfMerge pull request #1351 from emilazy/remove-which-use
2019-09-02 EmilyUse `command -v` rather than `which`
2019-09-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-02 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-01 Eddie HungMerge pull request #1344 from YosysHQ/eddie/ice40_signe...
2019-08-31 Eddie HungMake abc9 test a bit more interesting
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
2019-08-30 Eddie HungImprove tests/ice40/macc.ys for SB_MAC16
2019-08-30 Eddie HungMerge pull request #1310 from SergeyDegtyar/master
2019-08-30 Eddie HungMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-30 SergeyDegtyarmacc test fix
2019-08-30 SergeyDegtyarFix macc test
2019-08-30 SergeyDegtyardiv_mod test fix
2019-08-30 SergeyDegtyarfix div_mod test
2019-08-30 SergeyDegtyarFix test for counter
2019-08-30 SergeyMerge branch 'master' into master
2019-08-30 SergeyDegtyarAdd new tests.
2019-08-30 SergeyDegtyarRemove unnecessary common.v(assertions for testbenches).
2019-08-30 SergeyDegtyarRemove simulation from run-test.sh (unnecessary paths)
2019-08-30 SergeyDegtyarRemove simulation from run-test.sh
2019-08-30 Eddie HungMerge pull request #1337 from YosysHQ/eddie/fix_carry_w...
2019-08-30 Eddie HungNicer formatting
2019-08-29 SergeyMerge pull request #2 from YosysHQ/master
2019-08-29 SergeyMerge pull request #3 from YosysHQ/Sergey/tests_ice40
2019-08-29 Eddie HungAdd constant expression attribute to test
2019-08-29 SergeyDegtyarAdd comments for examples from Lattice user guide
2019-08-29 Eddie HungAdd failing test
2019-08-29 Eddie HungMerge remote-tracking branch 'origin/eddie/fix_carry_wr...
2019-08-29 Eddie HungAdd run-test.sh too
2019-08-29 Eddie HungAdd SB_CARRY to ice40_opt test
2019-08-29 Eddie HungAdd ice40_opt test
2019-08-29 Eddie HungAdd SB_CARRY to ice40_opt test
2019-08-29 Eddie HungAdd ice40_opt test
2019-08-29 Eddie HungMerge remote-tracking branch 'origin/eddie/fix_carry_wr...
2019-08-29 Eddie HungMerge remote-tracking branch 'origin/eddie/fix_carry_wr...
2019-08-28 Eddie HungMerge branch 'eddie/xilinx_srl' into xaig_arrival
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-28 Eddie HungComment out *.sh used for testbenches as we have no...
2019-08-28 Eddie HungUse equiv for memory and dpram
2019-08-28 Eddie HungUse equiv_opt for latches
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/clifford/async2syn...
2019-08-28 Eddie HungAdd .gitignore
2019-08-28 Eddie HungUse test_pmgen for xilinx_srl
2019-08-28 Eddie HungDo not simplemap for variable test
2019-08-28 Eddie HungAdd xilinx_srl test
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-28 David ShahMerge pull request #1332 from YosysHQ/dave/ecp5gsr
2019-08-28 SergeyDegtyarRevert "Add tests for ecp5"
2019-08-28 SergeyDegtyarAdd tests for ecp5
2019-08-27 Clifford WolfMerge pull request #1325 from YosysHQ/eddie/sat_init
2019-08-27 Eddie HungMerge pull request #1292 from YosysHQ/mwk/xilinx_bufgmap
2019-08-27 Eddie HungRevert to using clean
2019-08-27 SergeyDegtyarRevert "Add tests for ecp5 architecture."
2019-08-27 Marcin Kościelnickiimprove clkbuf_inhibit propagation upwards through...
2019-08-27 SergeyDegtyarAdd tests for ecp5 architecture.
2019-08-27 SergeyDegtyarAdd tests for macc and rom;
2019-08-27 Eddie HungRevert "In sat: 'x' in init attr should not override...
2019-08-26 Eddie HungMerge branch 'master' into eddie/xilinx_srl
2019-08-26 Eddie HungImprove tests to check that clkbuf is connected to...
2019-08-26 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-24 Eddie HungWire with init on FF part, 1'bx on non-FF part
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgen...
next