Fixed trailing whitespaces
[yosys.git] / tests /
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-06-19 Clifford WolfProgress in SMV back-end
2015-06-18 Clifford WolfProgress in SMV back-end
2015-06-08 luke whittlesey$mem cell in verilog backend : grouped writes by clock
2015-06-04 luke whittleseyBug fix in $mem verilog backend + changed tests/bram...
2015-05-18 Clifford Wolfbugfix in blif front-end
2015-05-17 Clifford Wolfadded vloghtb test_febe.sh
2015-05-11 Clifford Wolfchanged file() to open() in python scripts
2015-04-07 Clifford WolfAdded "pmuxtree" command
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-03-20 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-03-20 Clifford Wolffix for python 2.6.6
2015-02-14 Clifford WolfVarious fixes for memories with offsets
2015-02-14 Clifford WolfAdded $meminit support to "memory" command
2015-02-14 Clifford WolfAdded $meminit test case
2015-02-12 Clifford WolfSome test related fixes
2015-01-27 Clifford WolfBugfix in resource sharing test
2015-01-18 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-01-18 Clifford WolfRefactoring of memory_bram and xilinx brams
2015-01-18 Clifford Wolfimprovements in muxtree/select_leaves test
2015-01-18 Clifford WolfImprovements in opt_muxtree
2015-01-13 Clifford WolfTiny fix in vcdcd.pl
2015-01-04 Clifford WolfAdded memory_bram "shuffle_enable" feature
2015-01-03 Clifford WolfAdded "memory -bram"
2015-01-03 Clifford WolfAdded memory_bram 'or_next_if_better' feature
2015-01-03 Clifford Wolfmemory_bram transp support
2015-01-03 Clifford WolfProgress in memory_bram
2015-01-02 Clifford WolfAdded proper clkpol support to memory_bram
2015-01-02 Clifford WolfFixes and improvements in bram test
2015-01-02 Clifford WolfProgress in bram testbench
2015-01-02 Clifford WolfProgress in memory_bram
2015-01-01 Clifford WolfProgress in memory_bram
2015-01-01 Clifford WolfProgress in bram testbench
2015-01-01 Clifford WolfBram testbench (incomplete)
2014-11-09 Clifford WolfAdded "yosys -qq" to also quiet warning messages
2014-10-27 Clifford WolfAdded support for task and function args in parentheses
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-09-14 Clifford WolfAdded "synth" command
2014-09-06 Clifford WolfFixed autotest for non-basename arguments
2014-09-06 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-09-04 Clifford WolfAdded tests/various/constmsk_test.ys
2014-08-30 Clifford WolfAdded autotest -e (do not use -noexpr on write_verilog)
2014-08-21 Clifford WolfCosmetic changes to FSM tests
2014-08-14 Clifford WolfSome improvements in FSM mapping and recoding
2014-08-12 Clifford WolfAdded test_verific mode to tests/fsm/generate.py
2014-08-12 Clifford WolfAdded multi-dim memory test (requires iverilog git...
2014-08-10 Clifford WolfFixed FSM mapping for multiple reset-like signals
2014-08-09 Clifford WolfSome improvements in fsm_opt and fsm_map for FSM with...
2014-08-08 Clifford WolfImproved FSM tests
2014-08-08 Clifford WolfAdded FSM test bench
2014-08-05 Clifford WolfImproved scope resolution of local regs in Verilog...
2014-08-05 Clifford WolfFixed AST handling of variables declared inside a funct...
2014-08-03 Clifford WolfAdded "wreduce" to some of the standard test benches
2014-08-01 Clifford WolfConsolidated hana test benches into fewer files
2014-08-01 Clifford WolfAdded "test_autotb -n <num_iter>" option
2014-07-30 Clifford WolfAdded "make -j{N}" support to "make test"
2014-07-29 Clifford WolfRenamed "write_autotest" to "test_autotb" and moved...
2014-07-28 Clifford WolfAdded support for "upto" wires to Verilog front- and...
2014-07-28 Clifford WolfImprovements in tests/vloghtb
2014-07-27 Clifford WolfAdded techmap -extern
2014-07-26 Clifford WolfAdded tests/various/.gitignore
2014-07-26 Clifford WolfAdded tests/various/submod_extract.ys
2014-07-26 Clifford WolfUse "wget -N" in tests/vloghtb/run-test.sh
2014-07-25 Clifford WolfRenamed some of the test cases in tests/simple to avoid...
2014-07-21 Clifford WolfUse "opt -fine" in test/vloght/test_mapopt.sh
2014-07-21 Clifford WolfAdded "opt_const -fine" and "opt_reduce -fine"
2014-07-21 Clifford WolfVarious improvements in test/vloghtb
2014-07-21 Clifford WolfWider range of cell types supported in "share" pass
2014-07-20 Clifford WolfAdded yet another resource sharing test case
2014-07-20 Clifford WolfSupercell creation for $div/$mod worked all along,...
2014-07-20 Clifford WolfImproved tests/share/generate.py
2014-07-20 Clifford WolfSmall fix in tests/vloghtb/run-test.sh
2014-07-20 Clifford WolfAdded "miter -equiv -flatten"
2014-07-20 Clifford WolfAdded tests/vloghtb/test_share.sh
2014-07-20 Clifford WolfAdded tests/share for testing "share" supercell creation
2014-07-20 Clifford WolfAdded tests/vloghtb
2014-07-19 Clifford WolfAdded SAT-based write-port sharing to memory_share
2014-07-19 Clifford WolfFixed bug in memory_share feedback-to-en code
2014-07-18 Clifford WolfAdded translation from read-feedback to en-signals...
2014-07-18 Clifford WolfBugfix in tests/memories/run-test.sh
2014-07-18 Clifford Wolfadded tests/memories
2014-07-17 Clifford WolfAlso simulate unmapped memories in "make test"
2014-07-17 Clifford WolfImplemented dynamic bit-/part-select for memory writes
2014-07-17 Clifford WolfAdded support for bit/part select to mem2reg rewriter
2014-07-17 Clifford WolfAdded support for constant bit- or part-select for...
2014-07-16 Clifford WolfMerged new $mem/$memwr WR_EN interface
2014-07-16 Clifford WolfChanged tests/techmap/mem_simple_4x1_map for new $mem...
2014-07-16 Clifford WolfAdded note to "make test": use git checkout of iverilog
2014-07-05 Clifford Wolfnow ignore init attributes on non-register wires in...
2014-07-02 Clifford Wolffixed parsing of constant with comment between size...
2014-06-25 Clifford WolfFixed handling of mixed real/int ternary expressions
2014-06-21 Clifford WolfLittle steps in realmath test bench
2014-06-17 Clifford WolfAdded test case for AstNode::MEM2REG_FL_CMPLX_LHS
2014-06-17 Clifford WolfImproved handling of relational op of real values
2014-06-16 Clifford WolfLittle steps in realmath test bench
2014-06-15 Clifford WolfRemoved long running tests from tests/simple/realexpr...
2014-06-15 Clifford WolfAdded tests/realmath to "make test"
2014-06-15 Clifford WolfImproved realmath test bench
2014-06-14 Clifford Wolfimproved realmath test bench
2014-06-14 Clifford Wolfprogress in realmath test bench
next