Update example for GW1NR-9
[yosys.git] / tests /
2019-09-04 Pepijn de VosMerge branch 'master' of https://github.com/YosysHQ...
2019-09-03 Clifford WolfMerge pull request #1351 from emilazy/remove-which-use
2019-09-02 EmilyUse `command -v` rather than `which`
2019-09-02 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-01 Eddie HungMerge pull request #1344 from YosysHQ/eddie/ice40_signe...
2019-08-30 Eddie HungMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
2019-08-30 Eddie HungImprove tests/ice40/macc.ys for SB_MAC16
2019-08-30 Eddie HungMerge pull request #1310 from SergeyDegtyar/master
2019-08-30 Eddie HungMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-30 SergeyDegtyarmacc test fix
2019-08-30 SergeyDegtyarFix macc test
2019-08-30 SergeyDegtyardiv_mod test fix
2019-08-30 SergeyDegtyarfix div_mod test
2019-08-30 SergeyDegtyarFix test for counter
2019-08-30 SergeyMerge branch 'master' into master
2019-08-30 SergeyDegtyarAdd new tests.
2019-08-30 SergeyDegtyarRemove unnecessary common.v(assertions for testbenches).
2019-08-30 SergeyDegtyarRemove simulation from run-test.sh (unnecessary paths)
2019-08-30 SergeyDegtyarRemove simulation from run-test.sh
2019-08-30 Eddie HungMerge pull request #1337 from YosysHQ/eddie/fix_carry_w...
2019-08-30 Eddie HungNicer formatting
2019-08-29 SergeyMerge pull request #2 from YosysHQ/master
2019-08-29 SergeyMerge pull request #3 from YosysHQ/Sergey/tests_ice40
2019-08-29 SergeyDegtyarAdd comments for examples from Lattice user guide
2019-08-29 Eddie HungAdd run-test.sh too
2019-08-29 Eddie HungAdd SB_CARRY to ice40_opt test
2019-08-29 Eddie HungAdd ice40_opt test
2019-08-28 Eddie HungComment out *.sh used for testbenches as we have no...
2019-08-28 Eddie HungUse equiv for memory and dpram
2019-08-28 Eddie HungUse equiv_opt for latches
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/clifford/async2syn...
2019-08-28 Eddie HungAdd .gitignore
2019-08-28 Eddie HungUse test_pmgen for xilinx_srl
2019-08-28 Eddie HungDo not simplemap for variable test
2019-08-28 Eddie HungAdd xilinx_srl test
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-28 David ShahMerge pull request #1332 from YosysHQ/dave/ecp5gsr
2019-08-28 SergeyDegtyarRevert "Add tests for ecp5"
2019-08-28 SergeyDegtyarAdd tests for ecp5
2019-08-27 Clifford WolfMerge pull request #1325 from YosysHQ/eddie/sat_init
2019-08-27 Eddie HungMerge pull request #1292 from YosysHQ/mwk/xilinx_bufgmap
2019-08-27 Eddie HungRevert to using clean
2019-08-27 SergeyDegtyarRevert "Add tests for ecp5 architecture."
2019-08-27 Marcin Koƛcielnickiimprove clkbuf_inhibit propagation upwards through...
2019-08-27 SergeyDegtyarAdd tests for ecp5 architecture.
2019-08-27 SergeyDegtyarAdd tests for macc and rom;
2019-08-27 Eddie HungRevert "In sat: 'x' in init attr should not override...
2019-08-26 Eddie HungMerge branch 'master' into eddie/xilinx_srl
2019-08-26 Eddie HungImprove tests to check that clkbuf is connected to...
2019-08-26 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-24 Eddie HungWire with init on FF part, 1'bx on non-FF part
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgen...
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-23 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-23 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-23 Eddie HungCheck clkbuf_inhibit=1 is ignored for custom selection
2019-08-23 Eddie HungAdd simple clkbufmap tests
2019-08-23 Eddie Hungtests/techmap/run-test.sh to cope with *.ys
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-23 Eddie HungBlocking assignment
2019-08-23 SergeyDegtyarFix pull request
2019-08-23 SergeyDegtyarFix run-test.sh; Add new test for dpram.
2019-08-23 SergeyDegtyarFix path in run-test.sh
2019-08-23 SergeyMerge pull request #1 from YosysHQ/Sergey/tests_ice40
2019-08-22 Eddie HungDo not propagate mem2reg attribute through to result
2019-08-22 Eddie HungRemove adffs_tb.v
2019-08-22 Eddie HungIn sat: 'x' in init attr should not override constant
2019-08-22 Eddie HungIn sat: 'x' in init attr should not override constant
2019-08-22 Eddie HungRemove Xilinx test
2019-08-22 Eddie HungAdd shregmap -tech xilinx test
2019-08-22 Eddie HungWIP for equivalency checking memories
2019-08-22 Eddie HungDo not print OKAY
2019-08-22 Eddie HungFail if iverilog fails
2019-08-22 Eddie HungHide tri-state warning message for now
2019-08-22 Eddie HungRemove unused output
2019-08-22 Eddie HungFix tribuf test
2019-08-22 Eddie HungFix comments
2019-08-22 Eddie HungRemove tech independent synthesis
2019-08-22 Eddie HungRemove dffe instantation
2019-08-22 Eddie HungMove $dffe to dffs.{v,ys}
2019-08-22 Eddie HungMake multiplier wider, do not do tech independent synth
2019-08-22 Eddie HungMerge pull request #1319 from TeaEngineering/shuckc...
2019-08-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-22 Eddie HungMerge pull request #1317 from YosysHQ/eddie/opt_expr_shiftx
2019-08-22 Clifford WolfMerge pull request #1289 from mmicko/anlogic_fixes
2019-08-22 Clifford WolfMerge pull request #1281 from mmicko/efinix
2019-08-22 Eddie HungRespect opt_expr -keepdc as per @cliffordwolf
2019-08-22 Eddie HungHandle $shift and Y_WIDTH > 1 as per @cliffordwolf
2019-08-22 Clifford WolfMerge pull request #1316 from YosysHQ/eddie/fix_mem2reg
2019-08-22 Eddie HungAdd test
2019-08-21 Eddie HungMerge branch 'eddie/fix_mem2reg' into eddie/xilinx_srl
2019-08-21 Eddie Hungmem2reg to preserve user attributes and src
2019-08-21 SergeyDegtyarFix all comments from PR
2019-08-21 Clifford WolfMerge pull request #1314 from YosysHQ/eddie/fix_techmap
2019-08-21 SergeyDegtyarAdd temp directory
2019-08-21 Eddie HungAdd test
2019-08-20 Eddie HungMerge pull request #1209 from YosysHQ/eddie/synth_xilinx
next