t:$dff* -> t:$dff t:$dffe
[yosys.git] / tests /
2019-04-03 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-02 Eddie HungMerge pull request #895 from YosysHQ/pmux2shiftx
2019-03-28 Clifford WolfMerge pull request #901 from trcwm/libertyfixes
2019-03-28 Clifford WolfMerge pull request #903 from YosysHQ/bram_reset_transp
2019-03-27 Niels MoseleyLiberty file parser now accepts superfluous ;
2019-03-27 Niels MoseleyLiberty file parser now accepts superfluous ;
2019-03-26 Clifford WolfFix "verific -extnets" for more complex situations
2019-03-25 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-25 Clifford WolfMerge pull request #896 from YosysHQ/transp_fixes
2019-03-25 Clifford WolfMerge pull request #897 from trcwm/libertyfixes
2019-03-24 Niels MoseleyUpdated the liberty parser to accept [A:B] ranges ...
2019-03-19 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-19 Eddie HungMerge pull request #808 from eddiehung/read_aiger
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-19 Clifford WolfMerge pull request #884 from zachjs/master
2019-03-19 Zachary Snowfix local name resolution in prefix constructs
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-09 Clifford WolfMerge pull request #858 from YosysHQ/clifford/svalabels
2019-03-08 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2019-03-08 Clifford WolfFix handling of task output ports in clocked always...
2019-03-05 Clifford WolfMerge pull request #842 from litghost/merge_upstream
2019-03-05 Clifford WolfMerge pull request #850 from daveshah1/ecp5_warn_conflict
2019-03-05 Clifford WolfMerge pull request #851 from kprasadvnsi/master
2019-03-05 Clifford WolfMerge pull request #852 from ucb-bar/firrtlfixes
2019-03-04 Jim LawsonEnsure fid() calls make_id() for consistency; tests...
2019-03-01 Clifford WolfMerge pull request #836 from elmsfu/ice40_2bit_ram_rw_mode
2019-03-01 Clifford WolfHotfix for "make test"
2019-02-28 Clifford WolfMerge pull request #834 from YosysHQ/clifford/siminit
2019-02-28 Clifford WolfAdd "write_verilog -siminit"
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-28 Clifford WolfMerge pull request #827 from ucb-bar/firrtlfixes
2019-02-26 Jim LawsonFix FIRRTL to Verilog process instance subfield assignment.
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-24 Clifford WolfMerge pull request #824 from litghost/fix_reduce_on_ff
2019-02-24 Clifford WolfFix handling of defparam for when default_nettype is...
2019-02-23 Jim LawsonAddress requested changes - don't require non-$ name.
2019-02-22 Keith RothmanFix WREDUCE on FF not fixing ARST_VALUE parameter.
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfMerge pull request #786 from YosysHQ/pmgen
2019-02-21 Clifford WolfMerge pull request #821 from eddiehung/dff_init
2019-02-21 Eddie HungRevert "Add -B option to autotest.sh to append to backe...
2019-02-21 Eddie HungMerge pull request #817 from eddiehung/dff_init
2019-02-20 Eddie HungRemove simple_defparam tests
2019-02-19 Jim LawsonFix normal (non-array) hierarchy -auto-top.
2019-02-19 Eddie HungMerge branch 'master' into read_aiger
2019-02-19 Eddie HungMerge pull request #805 from eddiehung/dff_init
2019-02-18 Eddie HungMerge branch 'dff_init' into read_aiger
2019-02-17 Eddie HungOne more merge conflict
2019-02-17 Eddie HungMerge branch 'dff_init' into read_aiger
2019-02-17 Eddie HungMerge https://github.com/YosysHQ/yosys into dff_init
2019-02-17 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-02-17 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-02-17 Clifford WolfMerge pull request #811 from ucb-bar/firrtlfixes
2019-02-15 Jim LawsonAppend (instead of over-writing) EXTRA_FLAGS
2019-02-15 Jim LawsonUpdate cells supported for verilog to FIRRTL conversion.
2019-02-08 Eddie HungMerge remote-tracking branch 'origin/dff_init' into...
2019-02-08 Eddie HungSupport and differentiate between ASCII and binary...
2019-02-08 Eddie HungAdd binary AIGs converted from AAG
2019-02-06 Eddie HungMerge branch 'dff_init' of https://github.com/eddiehung...
2019-02-06 Eddie HungRevert most of autotest.sh; for non *.v use Yosys to...
2019-02-06 Eddie HungAdd tests for simple cases using defparam
2019-02-06 Eddie HungAdd -B option to autotest.sh to append to backend_opts
2019-02-06 Eddie HungExtend testcase
2019-02-06 Eddie HungAdd testcase
2019-02-06 Eddie HungRename ASCII tests
2019-02-05 Eddie HungAdd tests
2019-01-27 Clifford WolfMerge pull request #798 from mmicko/master
2019-01-27 Clifford WolfMerge pull request #800 from whitequark/write_verilog_t...
2019-01-27 Clifford WolfMerge branch 'whitequark-write_verilog_keyword'
2019-01-27 Clifford WolfRemove asicworld tests for (unsupported) switch-level...
2019-01-03 Clifford WolfMerge pull request #775 from whitequark/opt_flowmap
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 whitequarkopt_expr: improve simplification of comparisons with...
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-02 Clifford WolfMerge pull request #773 from whitequark/opt_lut_elim_fixes
2019-01-02 Clifford WolfMerge pull request #771 from whitequark/techmap_cmp2lut
2019-01-02 whitequarkcmp2lut: new techmap pass.
2019-01-02 whitequarkopt_expr: refactor simplification of unsigned X<onehot...
2019-01-02 whitequarkopt_expr: refactor simplification of signed X>=0 and...
2019-01-02 whitequarkopt_expr: simplify any unsigned comparisons with all...
2019-01-01 Clifford WolfMerge pull request #768 from whitequark/opt_lut_elim
2018-12-31 whitequarkopt_lut: eliminate LUTs evaluating to constants or...
2018-12-31 Clifford WolfMerge pull request #766 from Icenowy/anlogic-latches
2018-12-29 Larry DoolittleSquelch a little more trailing whitespace
2018-12-16 Clifford WolfMerge pull request #736 from whitequark/select_assert_list
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-16 Clifford WolfMerge pull request #738 from smunaut/issue_737
2018-12-16 Clifford WolfMerge pull request #735 from daveshah1/trifixes
2018-12-16 Clifford WolfMerge pull request #724 from whitequark/equiv_opt
2018-12-16 Clifford WolfMerge pull request #725 from olofk/ram4k-init
2018-12-16 Clifford WolfMerge pull request #714 from daveshah1/abc_preserve_naming
2018-12-16 Clifford WolfMerge pull request #723 from whitequark/synth_ice40_map...
2018-12-16 Clifford WolfMerge pull request #722 from whitequark/rename_src
2018-12-16 Clifford WolfMerge pull request #720 from whitequark/master
next