tests/aiger: write Yosys output
[yosys.git] / tests /
2020-01-07 Eddie Hungtests/aiger: write Yosys output
2020-01-07 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2020-01-07 Eddie HungMerge pull request #1181 from YosysHQ/xaig_dff
2020-01-06 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2020-01-06 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2020-01-06 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2020-01-03 N. EngelhardtMerge branch 'master' of https://github.com/YosysHQ...
2020-01-02 Eddie HungCombine tests to check multiple clock domains
2020-01-02 whitequarkMerge pull request #1604 from whitequark/unify-ram...
2020-01-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2020-01-02 Eddie HungMerge pull request #1601 from YosysHQ/eddie/synth_retime
2020-01-01 Eddie HungMerge pull request #1606 from YosysHQ/eddie/improve_tests
2020-01-01 Eddie HungRevert insertion of 'reg', leave note behind
2020-01-01 Miodrag MilanovićMerge pull request #1605 from YosysHQ/iopad_fix
2020-01-01 Miodrag MilanovicAdded a test case
2020-01-01 Eddie HungDo not do call equiv_opt when no sim model exists
2020-01-01 Eddie HungFix warnings
2020-01-01 Eddie HungCall equiv_opt with -multiclock and -assert
2020-01-01 Eddie HungAdd some abc9 dff tests
2019-12-31 Eddie HungAdd -D DFF_MODE to abc9_map test
2019-12-30 Eddie HungRemove submod changes
2019-12-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-30 Miodrag MilanovićMerge pull request #1589 from YosysHQ/iopad_default
2019-12-30 Eddie HungMerge pull request #1599 from YosysHQ/eddie/retry_1588
2019-12-30 Eddie HungMerge pull request #1600 from YosysHQ/eddie/cleanup_ecp5
2019-12-28 Miodrag MilanovicFix new tests
2019-12-28 Miodrag MilanovicMerge remote-tracking branch 'origin/master' into iopad...
2019-12-28 Miodrag MilanovicMake test without iopads
2019-12-28 Miodrag MilanovicRevert "Fix xilinx tests, when iopads are default"
2019-12-28 Eddie HungUpdate resource count
2019-12-28 Eddie HungAdd #1598 testcase
2019-12-25 Marcin Kościelnickiiopadmap: Emit tristate buffers with const OE for some...
2019-12-25 Marcin KościelnickiMerge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgen
2019-12-23 Eddie HungAdd DSP cascade tests
2019-12-22 Marcin Kościelnickixilinx_dsp: Initial DSP48A/DSP48A1 support.
2019-12-21 Miodrag MilanovicAddressed review comments
2019-12-21 Miodrag MilanovicFix xilinx tests, when iopads are default
2019-12-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-20 Eddie HungMerge pull request #1585 from YosysHQ/eddie/fix_abc9_lut
2019-12-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-19 Eddie HungMerge pull request #1581 from YosysHQ/clifford/fix1565
2019-12-19 Eddie HungMerge pull request #1558 from YosysHQ/eddie/xaiger_cleanup
2019-12-19 Eddie HungMerge pull request #1569 from YosysHQ/eddie/fix_1531
2019-12-19 Eddie HungMerge pull request #1571 from YosysHQ/eddie/fix_1570
2019-12-18 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-12-18 David ShahMerge pull request #1563 from YosysHQ/dave/async-prld
2019-12-18 Eddie HungMerge pull request #1572 from nakengelhardt/scratchpad_pass
2019-12-18 Eddie HungMerge pull request #1584 from YosysHQ/mwk/xilinx-flaky...
2019-12-18 Marcin Kościelnickitests/xilinx: fix flaky mux test
2019-12-18 Marcin Kościelnickixilinx: Add xilinx_dffopt pass (#1557)
2019-12-18 Marcin Kościelnickixilinx: Improve flip-flop handling.
2019-12-17 Eddie HungMerge pull request #1574 from YosysHQ/eddie/xilinx_lutram
2019-12-17 Eddie HungMerge pull request #1521 from dh73/diego/memattr
2019-12-17 Eddie Hungabc9 needs a clean afterwards
2019-12-16 Eddie HungAdd another test
2019-12-16 Eddie HungAccidentally commented out tests
2019-12-16 Eddie HungAdd unconditional match blocks for force RAM
2019-12-16 Eddie HungMerge blockram tests
2019-12-16 Eddie HungMerge branch 'diego/memattr' of https://github.com...
2019-12-16 Diego HFixing compiler warning/issues. Moving test script...
2019-12-16 N. Engelhardtadd assert option to scratchpad command
2019-12-16 Diego HRemoving fixed attribute value to !ramstyle rules
2019-12-16 Diego HMerging attribute rules into a single match block;...
2019-12-16 Eddie HungMerge pull request #1575 from rodrigomelo9/master
2019-12-16 Eddie HungMerge pull request #1577 from gromero/for-yosys
2019-12-13 Eddie HungMerge pull request #1533 from dh73/bram_xilinx
2019-12-13 Eddie HungDisable RAM16X1D test
2019-12-13 Diego HRenaming BRAM memory tests for the sake of uniformity
2019-12-13 Eddie HungRemove extraneous synth_xilinx call
2019-12-13 Eddie HungAdd tests for these new models
2019-12-13 Eddie HungAdd #1460 testcase
2019-12-13 Eddie HungRename memory tests to lutram, add more xilinx tests
2019-12-12 Diego HFixing citation in xc7_xcu_brams.txt file. Fixing RAMB3...
2019-12-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-12 Diego HAdding a note (TODO) in the memory_params.ys check...
2019-12-12 N. Engelhardtadd test and make help message more verbose
2019-12-12 Diego HUpdating RAMB36E1 thresholds. Adding test for both...
2019-12-12 Diego HMerge https://github.com/YosysHQ/yosys into bram_xilinx
2019-12-12 Eddie HungMake SV2017 compliant courtesy of @wsnyder
2019-12-12 Eddie HungAdd testcase
2019-12-11 David ShahMerge pull request #1564 from ZirconiumX/intel_housekeeping
2019-12-10 Eddie HungMerge pull request #1545 from YosysHQ/eddie/ice40_wrapc...
2019-12-09 Eddie Hungunmap $__ICE40_CARRY_WRAPPER in test
2019-12-09 Eddie Hungice40_wrapcarry to really preserve attributes via ...
2019-12-07 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-07 Eddie HungMerge pull request #1555 from antmicro/fix-macc-xilinx...
2019-12-07 Eddie HungDrop keep=0 attributes on SB_CARRY
2019-12-06 Jan Kowalewskitests: arch: xilinx: Change order of arguments in macc.sh
2019-12-05 Clifford WolfMerge pull request #1551 from whitequark/manual-cell...
2019-12-05 Eddie HungAdd WIP test for unwrapping $__ICE40_CARRY_WRAPPER
2019-12-05 Eddie Hungabc9_map.v to transform INIT=1 to INIT=0
2019-12-04 Marcin Kościelnickiiopadmap: Refactor and fix tristate buffer mapping...
2019-12-03 Eddie HungCheck SB_CARRY name also preserved
2019-12-03 Eddie HungAdd testcase
2019-12-03 Clifford WolfMerge pull request #1524 from pepijndevos/gowindffinit
2019-12-03 Pepijn de Vosupdate test
2019-12-03 Pepijn de VosUse -match-init to not synth contradicting init values
2019-12-02 David ShahMerge pull request #1542 from YosysHQ/dave/abc9-loop-fix
2019-12-01 David Shahabc9: Fix breaking of SCCs
next