Move muxpack from passes/techmap to passes/opt
[yosys.git] / tests /
2019-06-06 Eddie HungOne more and tidy up
2019-06-06 Eddie HungAdd a few more special case tests
2019-06-06 Eddie HungAdd tests, fix for !=
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-04 Maciej KurcMoved tests that fail with Icarus Verilog to /tests...
2019-06-03 Maciej KurcAdded tests for attributes
2019-05-28 Clifford WolfMerge pull request #1049 from YosysHQ/clifford/fix1047
2019-05-28 Clifford WolfMerge pull request #1050 from YosysHQ/clifford/wandwor
2019-05-28 Clifford WolfDo not use shiftmul peepopt pattern when mul result...
2019-05-28 Clifford WolfAdd actual wandwor test that is part of "make test"
2019-05-28 Clifford WolfMerge branch 'wandwor' of https://github.com/thasti...
2019-05-27 Stefan BiereigelMerge branch 'master' into wandwor
2019-05-27 Stefan Biereigelreformat wand/wor test
2019-05-27 Stefan Biereigelremove port direction workaround from test case
2019-05-27 Clifford WolfMerge pull request #1026 from YosysHQ/clifford/fix1023
2019-05-27 Clifford WolfMerge pull request #1030 from Kmanfi/makefile_osx
2019-05-26 Clifford WolfMerge pull request #1035 from YosysHQ/eddie/opt_rmdff
2019-05-25 Eddie HungFix init
2019-05-25 Eddie HungFix typos
2019-05-25 Eddie HungAdd more tests
2019-05-25 Eddie HungCall proc
2019-05-25 Eddie HungFix duplicate driver
2019-05-23 Eddie HungAdd opt_rmdff tests
2019-05-23 Stefan Biereigeladd simple test case for wand/wor
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-16 Clifford WolfMerge pull request #1013 from antmicro/parameter_attributes
2019-05-16 Maciej KurcAdded tests for Verilog frontent for attributes on...
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-08 Clifford WolfMerge pull request #998 from mdaiter/get_bool_attribute...
2019-05-07 Clifford WolfAdd test case from #997
2019-05-06 Clifford WolfMerge pull request #946 from YosysHQ/clifford/specify
2019-05-06 Clifford WolfMerge pull request #975 from YosysHQ/clifford/fix968
2019-05-06 Clifford WolfMerge pull request #871 from YosysHQ/verific_import
2019-05-06 Clifford WolfAdd tests/various/chparam.sh
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-06 Clifford WolfImprove tests/various/specify.ys
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-03 Eddie HungMore testing
2019-05-03 Eddie HungFix spacing
2019-05-03 Eddie HungAdd quick-and-dirty specify tests
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Eddie Hungiverilog with simcells.v as well
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-03 Clifford WolfMerge pull request #984 from YosysHQ/eddie/fix_982
2019-05-03 Clifford WolfMerge pull request #976 from YosysHQ/clifford/fix974
2019-05-03 Clifford WolfMerge pull request #985 from YosysHQ/clifford/fix981
2019-05-03 Clifford WolfFix typo in tests/svinterfaces/runone.sh
2019-05-03 Clifford WolfMerge pull request #979 from jakobwenzel/svinterfacesTe...
2019-05-02 Jakob Wenzelfail svinterfaces testcases on yosys error exit
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-05-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-05-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-01 Clifford WolfMerge pull request #977 from ucb-bar/fixfirrtlmem
2019-05-01 Jim LawsonFix #938 - Crash occurs in case when use write_firrtl...
2019-05-01 Clifford WolfAdd splitcmplxassign test case and silence splitcmplxas...
2019-05-01 Clifford WolfAdd additional test cases for for-loops
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-30 Clifford WolfAdd peepopt_muldiv, fixes #930
2019-04-22 Eddie HungMerge pull request #914 from YosysHQ/xc7srl
2019-04-22 Clifford WolfMerge pull request #952 from YosysHQ/clifford/fix370
2019-04-22 Clifford WolfMerge pull request #951 from YosysHQ/clifford/logdebug
2019-04-22 Clifford WolfMerge pull request #949 from YosysHQ/clifford/pmux2shim...
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfUpdaye pmux2shiftx test
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfMerge pull request #916 from YosysHQ/map_cells_before_m...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Clifford WolfMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
2019-04-22 Clifford WolfMerge pull request #945 from YosysHQ/clifford/libwb
2019-04-21 Eddie HungMerge branch 'map_cells_before_map_luts' into xc7srl
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Clifford WolfFix tests
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/pmux2shif...
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-20 Eddie HungMerge remote-tracking branch 'origin' into xc7srl
2019-04-20 Clifford WolfMerge pull request #942 from YosysHQ/clifford/fix931
2019-04-20 Clifford WolfImprove "pmux2shiftx"
2019-04-19 Clifford WolfImprovements in "pmux2shiftx"
2019-04-19 Clifford WolfImprovements in pmux2shiftx
2019-04-19 Clifford WolfAdd test for pmux2shiftx
2019-04-19 Clifford WolfAdd tests/aiger/.gitignore
2019-04-18 Eddie HungMerge pull request #917 from YosysHQ/eddie/fix_retime
2019-04-18 Eddie HungMerge branch 'master' into eddie/fix_retime
2019-04-16 Eddie HungMerge pull request #937 from YosysHQ/revert-932-eddie...
2019-04-16 Eddie HungRevert "Recognise default entry in case even if all...
2019-04-12 Eddie HungMerge pull request #928 from litghost/add_xc7_sim_models
2019-04-12 Clifford WolfMerge pull request #933 from dh73/master
2019-04-12 Clifford WolfMerge pull request #932 from YosysHQ/eddie/fixdlatch
2019-04-11 Eddie HungAdd default entry to testcase
2019-04-10 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-04-09 Zachary Snowsupport repeat loops with constant repeat counts outsid...
2019-04-08 Eddie HungMerge branch 'undo_pr895' into xc7srl
2019-04-05 Eddie HungMerge branch 'eddie/fix_retime' into xc7srl
next