Various improvements regarding logic loops in "share" results
[yosys.git] / tests /
2014-09-14 Clifford WolfAdded "synth" command
2014-09-06 Clifford WolfFixed autotest for non-basename arguments
2014-09-06 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-09-04 Clifford WolfAdded tests/various/constmsk_test.ys
2014-08-30 Clifford WolfAdded autotest -e (do not use -noexpr on write_verilog)
2014-08-21 Clifford WolfCosmetic changes to FSM tests
2014-08-14 Clifford WolfSome improvements in FSM mapping and recoding
2014-08-12 Clifford WolfAdded test_verific mode to tests/fsm/generate.py
2014-08-12 Clifford WolfAdded multi-dim memory test (requires iverilog git...
2014-08-10 Clifford WolfFixed FSM mapping for multiple reset-like signals
2014-08-09 Clifford WolfSome improvements in fsm_opt and fsm_map for FSM with...
2014-08-08 Clifford WolfImproved FSM tests
2014-08-08 Clifford WolfAdded FSM test bench
2014-08-05 Clifford WolfImproved scope resolution of local regs in Verilog...
2014-08-05 Clifford WolfFixed AST handling of variables declared inside a funct...
2014-08-03 Clifford WolfAdded "wreduce" to some of the standard test benches
2014-08-01 Clifford WolfConsolidated hana test benches into fewer files
2014-08-01 Clifford WolfAdded "test_autotb -n <num_iter>" option
2014-07-30 Clifford WolfAdded "make -j{N}" support to "make test"
2014-07-29 Clifford WolfRenamed "write_autotest" to "test_autotb" and moved...
2014-07-28 Clifford WolfAdded support for "upto" wires to Verilog front- and...
2014-07-28 Clifford WolfImprovements in tests/vloghtb
2014-07-27 Clifford WolfAdded techmap -extern
2014-07-26 Clifford WolfAdded tests/various/.gitignore
2014-07-26 Clifford WolfAdded tests/various/submod_extract.ys
2014-07-26 Clifford WolfUse "wget -N" in tests/vloghtb/run-test.sh
2014-07-25 Clifford WolfRenamed some of the test cases in tests/simple to avoid...
2014-07-21 Clifford WolfUse "opt -fine" in test/vloght/test_mapopt.sh
2014-07-21 Clifford WolfAdded "opt_const -fine" and "opt_reduce -fine"
2014-07-21 Clifford WolfVarious improvements in test/vloghtb
2014-07-21 Clifford WolfWider range of cell types supported in "share" pass
2014-07-20 Clifford WolfAdded yet another resource sharing test case
2014-07-20 Clifford WolfSupercell creation for $div/$mod worked all along,...
2014-07-20 Clifford WolfImproved tests/share/generate.py
2014-07-20 Clifford WolfSmall fix in tests/vloghtb/run-test.sh
2014-07-20 Clifford WolfAdded "miter -equiv -flatten"
2014-07-20 Clifford WolfAdded tests/vloghtb/test_share.sh
2014-07-20 Clifford WolfAdded tests/share for testing "share" supercell creation
2014-07-20 Clifford WolfAdded tests/vloghtb
2014-07-19 Clifford WolfAdded SAT-based write-port sharing to memory_share
2014-07-19 Clifford WolfFixed bug in memory_share feedback-to-en code
2014-07-18 Clifford WolfAdded translation from read-feedback to en-signals...
2014-07-18 Clifford WolfBugfix in tests/memories/run-test.sh
2014-07-18 Clifford Wolfadded tests/memories
2014-07-17 Clifford WolfAlso simulate unmapped memories in "make test"
2014-07-17 Clifford WolfImplemented dynamic bit-/part-select for memory writes
2014-07-17 Clifford WolfAdded support for bit/part select to mem2reg rewriter
2014-07-17 Clifford WolfAdded support for constant bit- or part-select for...
2014-07-16 Clifford WolfMerged new $mem/$memwr WR_EN interface
2014-07-16 Clifford WolfChanged tests/techmap/mem_simple_4x1_map for new $mem...
2014-07-16 Clifford WolfAdded note to "make test": use git checkout of iverilog
2014-07-05 Clifford Wolfnow ignore init attributes on non-register wires in...
2014-07-02 Clifford Wolffixed parsing of constant with comment between size...
2014-06-25 Clifford WolfFixed handling of mixed real/int ternary expressions
2014-06-21 Clifford WolfLittle steps in realmath test bench
2014-06-17 Clifford WolfAdded test case for AstNode::MEM2REG_FL_CMPLX_LHS
2014-06-17 Clifford WolfImproved handling of relational op of real values
2014-06-16 Clifford WolfLittle steps in realmath test bench
2014-06-15 Clifford WolfRemoved long running tests from tests/simple/realexpr...
2014-06-15 Clifford WolfAdded tests/realmath to "make test"
2014-06-15 Clifford WolfImproved realmath test bench
2014-06-14 Clifford Wolfimproved realmath test bench
2014-06-14 Clifford Wolfprogress in realmath test bench
2014-06-14 Clifford Wolfadded first draft of real math testcase generator
2014-06-14 Clifford WolfAdded support for math functions
2014-06-14 Clifford WolfAdded realexpr.v test case
2014-06-12 Clifford WolfAdded read_verilog -sv options, added support for bit...
2014-06-07 Clifford Wolfadded tests for new verilog features
2014-06-06 Clifford WolfAdded tests/simple/repwhile.v
2014-03-17 Clifford WolfProgress in Verific bindings
2014-03-14 Clifford WolfProgress in Verific bindings
2014-03-11 Siesh1ooRebase to cliffordwolf repo HEAD finished.
2014-03-11 Clifford WolfFixed yosys path in tests/techmap/mem_simple_4x1_runtest.sh
2014-03-11 Clifford WolfUse "verilog -noattr" in tests/techmap/mem_simple_4x1...
2014-02-21 Clifford WolfUse private namespace in mem_simple_4x1_map
2014-02-21 Clifford WolfAdded tests/techmap/mem_simple_4x1
2014-02-19 Clifford WolfAdded vcd2txt.pl and txt2tikztiming.py (tests/tools...
2014-02-15 Clifford WolfAdded frontend (-f) option to autotest.sh
2014-02-13 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-02-13 Clifford WolfUpdated ABC and some related changes
2014-02-12 Clifford WolfMerge pull request #26 from ahmedirfan1983/btor
2014-02-12 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-02-12 Clifford WolfDisabled "abc -dff" in "make test" for now (waiting...
2014-02-08 Clifford WolfAdded test cases for expose -evert-dff
2014-02-07 Clifford WolfAdded splice command
2014-02-06 Clifford WolfAdded counters sat test case
2014-02-05 Clifford WolfRemoved old unused files from tests/
2014-02-04 Clifford WolfAdded test cases for sat command
2014-02-03 Clifford WolfAdded TRANSPARENT parameter to $memrd (and RD_TRANSPARE...
2014-02-03 Clifford WolfReplaced isim with xsim in tests/tools/autotest.sh...
2014-01-30 Clifford WolfBugfix in name resolution with generate blocks
2014-01-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-01-02 Clifford WolfAdded correct handling of $memwr priority
2014-01-02 Clifford WolfAdded autotest.sh -p option
2013-12-31 Clifford WolfUse "abc -dff" in "make test"
2013-12-31 Clifford WolfFixed commented out techmap call in tests/tools/autotest.sh
2013-12-27 Clifford WolfAdded proper === and !== support in constant expressions
2013-12-18 Clifford WolfAdded multiplier test case from eda playground
2013-12-18 Clifford WolfAdded elsif preproc support
2013-12-18 Clifford WolfAdded support for macro arguments
next