Avoid creation of bogus initial blocks for assert/assume in always @*
[yosys.git] / tests /
2016-08-22 Clifford WolfFixed bug with memories that do not have a down-to...
2016-08-21 Clifford WolfAdded another mem2reg test case
2016-08-21 Clifford WolfAnother bugfix in mem2reg code
2016-08-06 Clifford WolfAdded "test_autotb -seed" (and "autotest.sh -S")
2016-07-08 Clifford WolfFixed mem assignment in left-hand-side concatenation
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-07-02 Clifford WolfFixed autotest.sh handling of `timescale
2016-06-17 Clifford WolfFixed init issue in mem2reg_test2 test case
2016-05-29 Clifford WolfAdded opt_expr support for div/mod by power-of-two
2016-05-20 Clifford WolfSome fixes in tests/asicworld/*_tb.v
2016-05-20 Clifford WolfImprovements and fixes in autotest.sh script and test_a...
2016-05-20 Clifford WolfMerge branch 'master' of https://github.com/Kmanfi...
2016-05-19 Kaj TuomiFix for Modelsim transcript line warp issue #164
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-21 Clifford WolfBugfix and improvements in memory_share
2016-03-25 Clifford WolfMerge pull request #136 from ravenexp/master
2016-03-25 Sergey KvachonokOptionally use ${CC} when compiling test utils.
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-11-30 Clifford WolfAdded tests/simple/graphtest.v
2015-11-12 Clifford WolfMore bugfixes in handling of parameters in tasks and...
2015-11-11 Clifford WolfFixed handling of parameters and localparams in functions
2015-10-31 Clifford WolfBugfix in memory_dff
2015-10-31 Clifford WolfImprovements in wreduce
2015-09-25 Clifford WolfAdded read-enable to memory model
2015-08-22 Clifford WolfSwitched to Python 3
2015-08-14 Larry DoolittleAnother block of spelling fixes
2015-08-14 Clifford WolfSpell check (by Larry Doolittle)
2015-08-13 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-08-13 Clifford WolfFixed CRLF line endings
2015-08-13 Clifford WolfSome ASCII encoding fixes (comments and docs) by Larry...
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-06-19 Clifford WolfProgress in SMV back-end
2015-06-18 Clifford WolfProgress in SMV back-end
2015-06-08 luke whittlesey$mem cell in verilog backend : grouped writes by clock
2015-06-04 luke whittleseyBug fix in $mem verilog backend + changed tests/bram...
2015-05-18 Clifford Wolfbugfix in blif front-end
2015-05-17 Clifford Wolfadded vloghtb test_febe.sh
2015-05-11 Clifford Wolfchanged file() to open() in python scripts
2015-04-07 Clifford WolfAdded "pmuxtree" command
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-03-20 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-03-20 Clifford Wolffix for python 2.6.6
2015-02-14 Clifford WolfVarious fixes for memories with offsets
2015-02-14 Clifford WolfAdded $meminit support to "memory" command
2015-02-14 Clifford WolfAdded $meminit test case
2015-02-12 Clifford WolfSome test related fixes
2015-01-27 Clifford WolfBugfix in resource sharing test
2015-01-18 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-01-18 Clifford WolfRefactoring of memory_bram and xilinx brams
2015-01-18 Clifford Wolfimprovements in muxtree/select_leaves test
2015-01-18 Clifford WolfImprovements in opt_muxtree
2015-01-13 Clifford WolfTiny fix in vcdcd.pl
2015-01-04 Clifford WolfAdded memory_bram "shuffle_enable" feature
2015-01-03 Clifford WolfAdded "memory -bram"
2015-01-03 Clifford WolfAdded memory_bram 'or_next_if_better' feature
2015-01-03 Clifford Wolfmemory_bram transp support
2015-01-03 Clifford WolfProgress in memory_bram
2015-01-02 Clifford WolfAdded proper clkpol support to memory_bram
2015-01-02 Clifford WolfFixes and improvements in bram test
2015-01-02 Clifford WolfProgress in bram testbench
2015-01-02 Clifford WolfProgress in memory_bram
2015-01-01 Clifford WolfProgress in memory_bram
2015-01-01 Clifford WolfProgress in bram testbench
2015-01-01 Clifford WolfBram testbench (incomplete)
2014-11-09 Clifford WolfAdded "yosys -qq" to also quiet warning messages
2014-10-27 Clifford WolfAdded support for task and function args in parentheses
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-09-14 Clifford WolfAdded "synth" command
2014-09-06 Clifford WolfFixed autotest for non-basename arguments
2014-09-06 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-09-04 Clifford WolfAdded tests/various/constmsk_test.ys
2014-08-30 Clifford WolfAdded autotest -e (do not use -noexpr on write_verilog)
2014-08-21 Clifford WolfCosmetic changes to FSM tests
2014-08-14 Clifford WolfSome improvements in FSM mapping and recoding
2014-08-12 Clifford WolfAdded test_verific mode to tests/fsm/generate.py
2014-08-12 Clifford WolfAdded multi-dim memory test (requires iverilog git...
2014-08-10 Clifford WolfFixed FSM mapping for multiple reset-like signals
2014-08-09 Clifford WolfSome improvements in fsm_opt and fsm_map for FSM with...
2014-08-08 Clifford WolfImproved FSM tests
2014-08-08 Clifford WolfAdded FSM test bench
2014-08-05 Clifford WolfImproved scope resolution of local regs in Verilog...
2014-08-05 Clifford WolfFixed AST handling of variables declared inside a funct...
2014-08-03 Clifford WolfAdded "wreduce" to some of the standard test benches
2014-08-01 Clifford WolfConsolidated hana test benches into fewer files
2014-08-01 Clifford WolfAdded "test_autotb -n <num_iter>" option
2014-07-30 Clifford WolfAdded "make -j{N}" support to "make test"
2014-07-29 Clifford WolfRenamed "write_autotest" to "test_autotb" and moved...
2014-07-28 Clifford WolfAdded support for "upto" wires to Verilog front- and...
2014-07-28 Clifford WolfImprovements in tests/vloghtb
2014-07-27 Clifford WolfAdded techmap -extern
2014-07-26 Clifford WolfAdded tests/various/.gitignore
2014-07-26 Clifford WolfAdded tests/various/submod_extract.ys
2014-07-26 Clifford WolfUse "wget -N" in tests/vloghtb/run-test.sh
2014-07-25 Clifford WolfRenamed some of the test cases in tests/simple to avoid...
2014-07-21 Clifford WolfUse "opt -fine" in test/vloght/test_mapopt.sh
2014-07-21 Clifford WolfAdded "opt_const -fine" and "opt_reduce -fine"
2014-07-21 Clifford WolfVarious improvements in test/vloghtb
2014-07-21 Clifford WolfWider range of cell types supported in "share" pass
2014-07-20 Clifford WolfAdded yet another resource sharing test case
2014-07-20 Clifford WolfSupercell creation for $div/$mod worked all along,...
next