zinit: fix review comments from @mwkmwkmwk
[yosys.git] / tests /
2020-04-13 Eddie Hungzinit: fix review comments from @mwkmwkmwk
2020-04-13 Eddie Hungtests: zinit on $adff
2020-04-13 Eddie HungAdd testcase for $_DFF_[NP][NP][01]_
2020-04-13 Marcelina Kościelnickaopt_expr: Optimize multiplications with low 0 bits...
2020-04-13 whitequarkMerge pull request #1910 from boqwxp/cleanup_ilang_parser
2020-04-12 XiretzaAdd .gitignore to tests/select/
2020-04-10 whitequarkMerge pull request #1603 from whitequark/ice40-ram_style
2020-04-10 whitequarkMerge pull request #1562 from whitequark/write_cxxrtl
2020-04-09 Eddie HungMerge pull request #1858 from YosysHQ/eddie/fix1856
2020-04-09 Eddie Hungtests: add a quick plugin test
2020-04-09 whitequarkMerge pull request #1857 from whitequark/splitnets...
2020-04-09 whitequarkMerge pull request #1875 from whitequark/read_ilang...
2020-04-07 Claire WolfMerge pull request #1814 from YosysHQ/mmicko/pyosys_mak...
2020-04-06 whitequarkMerge pull request #1859 from boqwxp/design_duplicate
2020-04-03 Eddie HungMerge pull request #1648 from YosysHQ/eddie/cmp2lcu
2020-04-03 Eddie Hung+/cmp2lcu.v to work efficiently for fully/partially...
2020-04-03 Eddie HungRefactor +/cmp2lcu.v into recursive techmap
2020-04-03 Eddie Hungtechmap +/cmp2lcu.v for decomposing arithmetic compares...
2020-04-03 whitequarkecp5: do not map FFRAM if explicitly requested otherwise.
2020-04-03 whitequarkice40: do not map FFRAM if explicitly requested otherwise.
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Eddie HungMerge pull request #1767 from YosysHQ/eddie/idstrings
2020-04-02 Claire WolfMerge pull request #1846 from dh73/ast_fe
2020-04-02 Marcin Kościelnickiiopadmap: Fix z assignment to inout port
2020-04-02 Claire WolfMerge pull request #1842 from YosysHQ/mwk/fix-deminout-xz
2020-04-02 Eddie HungMerge pull request #1845 from YosysHQ/eddie/kernel_speedup
2020-04-02 Claire WolfMerge pull request #1770 from YosysHQ/claire/btor_symbols
2020-04-02 Claire WolfMerge pull request #1765 from YosysHQ/claire/btor_info
2020-04-01 Eddie HungMerge pull request #1828 from YosysHQ/eddie/celltypes_s...
2020-04-01 Eddie HungMerge pull request #1790 from YosysHQ/eddie/opt_expr_xor
2020-04-01 Eddie HungMerge pull request #1789 from YosysHQ/eddie/opt_expr_alu
2020-04-01 David ShahMerge pull request #1844 from YosysHQ/dave/gen-source-loc
2020-04-01 Eddie HungMerge pull request #1852 from boqwxp/cleanup_synth_ice40
2020-04-01 Eddie HungMerge pull request #1849 from boqwxp/cleanup_kernel_yosys
2020-04-01 Eddie HungMerge pull request #1850 from boqwxp/cleanup_backends
2020-04-01 Claire WolfMerge pull request #1848 from YosysHQ/eddie/fix_dynslice
2020-03-31 Eddie HungMerge pull request #1761 from YosysHQ/eddie/opt_merge_s...
2020-03-31 Eddie HungAdd dynamic slicing Verilog testcase
2020-03-30 Eddie HungMerge pull request #1783 from boqwxp/astcc_cleanup
2020-03-30 Eddie HungMerge pull request #1835 from boqwxp/cleanup_sat_expose
2020-03-30 Eddie HungMerge pull request #1832 from boqwxp/cleanup_passes_cmd...
2020-03-30 Eddie HungMerge pull request #1786 from boqwxp/hierarchycc_cleanup
2020-03-30 Eddie HungMerge pull request #1831 from boqwxp/cleanup_sat_eval
2020-03-30 Eddie HungMerge pull request #1833 from boqwxp/cleanup_sat_freduce
2020-03-30 N. EngelhardtMerge pull request #1811 from PeterCrozier/typedef_scope
2020-03-30 N. EngelhardtMerge pull request #1778 from rswarbrick/sv-defines
2020-03-27 Claire WolfMerge pull request #1607 from whitequark/simplify-simpl...
2020-03-27 Rupert SwarbrickAdd support for SystemVerilog-style `define to Verilog...
2020-03-27 Claire WolfMerge pull request #1815 from boqwxp/fix-ef-optimize
2020-03-26 Claire WolfMerge pull request #1806 from YosysHQ/mwk/techmap-repla...
2020-03-23 Peter CrozierSupport module/package/interface/block scope for typede...
2020-03-23 N. EngelhardtMerge pull request #1763 from boqwxp/issue1762
2020-03-23 Alberto GonzalezDo not warn on empty selection with prefixed `arg_memb`.
2020-03-23 Alberto GonzalezSuppress warnings for empty `select` arguments when...
2020-03-23 Alberto GonzalezAdd tests for `select` command warnings.
2020-03-23 N. EngelhardtMerge pull request #1803 from Grazfather/typedef
2020-03-23 Marcin Kościelnickitechmap: Fix cell names with _TECHMAP_REPLACE_.*
2020-03-23 N. EngelhardtMerge pull request #1785 from boqwxp/mitercc_cleanup
2020-03-23 PeterRevert typedef tests to standard grammar.
2020-03-21 David ShahMerge pull request #1794 from YosysHQ/dave/mince-abc9-fix
2020-03-20 Eddie Hungopt_expr: add failing $xnor test
2020-03-20 David ShahAdd test for abc9+mince issue
2020-03-20 Eddie HungSimplify breaking tests/arch/*/fsm.ys tests
2020-03-19 Eddie Hungopt_expr: add $xor/$xnor/$_XOR_/$_XNOR_ tests
2020-03-19 Eddie HungMerge pull request #1788 from YosysHQ/eddie/fix_ndebug
2020-03-19 Eddie Hungopt_expr: add $alu tests
2020-03-19 Marcin Kościelnickifsm_extract: Initialize celltypes with full design.
2020-03-19 Miodrag MilanovićMerge pull request #1787 from YosysHQ/mmicko/lexer_deps
2020-03-19 N. EngelhardtMerge pull request #1774 from boqwxp/exec
2020-03-19 N. EngelhardtMerge pull request #1775 from huaixv/asserts_locations
2020-03-18 Miodrag MilanovićMerge pull request #1780 from YosysHQ/fix-test-bash
2020-03-18 N. Engelhardtfix argument order for macOS compatibility
2020-03-17 Eddie HungMerge pull request #1769 from boqwxp/select_cleanup
2020-03-16 Eddie Hungopt_merge: speedup
2020-03-16 N. EngelhardtMerge pull request #1768 from boqwxp/smt2_cleanup
2020-03-16 N. EngelhardtMerge pull request #1746 from boqwxp/optimization
2020-03-16 Alberto GonzalezAdd test for `exec` command.
2020-03-14 Miodrag MilanovićMerge pull request #1759 from zeldin/constant_with_comm...
2020-03-14 Marcus ComstedtAdd regression tests for new handling of comments in...
2020-03-14 Miodrag MilanovićMerge pull request #1754 from boqwxp/precise_locations
2020-03-14 Miodrag MilanovićMerge pull request #1766 from YosysHQ/mmicko/regex_gcc48
2020-03-13 Miodrag MilanovicAdded back tests for logger
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-12 N. EngelhardtMerge pull request #1751 from boqwxp/add_assert
2020-03-11 Eddie Hungverilog: add test
2020-03-11 Eddie HungMerge pull request #1743 from YosysHQ/eddie/abc9_keep
2020-03-11 Eddie HungMerge pull request #1744 from YosysHQ/eddie/fix1675
2020-03-10 David ShahMerge pull request #1753 from YosysHQ/dave/abc9-speedup
2020-03-10 David ShahMerge pull request #1721 from YosysHQ/dave/tribuf-unused
2020-03-09 Eddie HungMerge pull request #1747 from YosysHQ/claire/partselfix
2020-03-09 N. EngelhardtMerge pull request #1716 from zeldin/ecp5_fix
2020-03-08 Claire WolfFix partsel expr bit width handling and add test case
2020-03-06 Miodrag MilanovićMerge pull request #1742 from nakengelhardt/rpc-test...
2020-03-06 N. Engelhardtrpc test: make frontend listen before launching yosys...
2020-03-05 Eddie HungMerge pull request #1739 from YosysHQ/eddie/issue1738
2020-03-05 Eddie Hungtests: extend tests/arch/run-tests.sh for defines
2020-03-04 Eddie HungMerge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1
2020-03-04 David Shahdeminout: Don't demote inouts with unused bits
2020-03-03 N. EngelhardtMerge pull request #1691 from ZirconiumX/use-flowmap...
2020-03-03 Claire WolfMerge pull request #1718 from boqwxp/precise_locations
next