Use equiv_opt for latches
[yosys.git] / tests /
2019-08-28 Eddie HungUse equiv_opt for latches
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/clifford/async2syn...
2019-08-28 SergeyDegtyarRevert "Add tests for ecp5"
2019-08-28 SergeyDegtyarAdd tests for ecp5
2019-08-27 Clifford WolfMerge pull request #1325 from YosysHQ/eddie/sat_init
2019-08-27 Eddie HungMerge pull request #1292 from YosysHQ/mwk/xilinx_bufgmap
2019-08-27 Eddie HungRevert to using clean
2019-08-27 SergeyDegtyarRevert "Add tests for ecp5 architecture."
2019-08-27 Marcin Koƛcielnickiimprove clkbuf_inhibit propagation upwards through...
2019-08-27 SergeyDegtyarAdd tests for ecp5 architecture.
2019-08-27 SergeyDegtyarAdd tests for macc and rom;
2019-08-26 Eddie HungImprove tests to check that clkbuf is connected to...
2019-08-26 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-24 Eddie HungWire with init on FF part, 1'bx on non-FF part
2019-08-23 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-23 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-23 Eddie HungCheck clkbuf_inhibit=1 is ignored for custom selection
2019-08-23 Eddie HungAdd simple clkbufmap tests
2019-08-23 Eddie Hungtests/techmap/run-test.sh to cope with *.ys
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-23 Eddie HungBlocking assignment
2019-08-23 SergeyDegtyarFix pull request
2019-08-23 SergeyDegtyarFix run-test.sh; Add new test for dpram.
2019-08-23 SergeyDegtyarFix path in run-test.sh
2019-08-23 SergeyMerge pull request #1 from YosysHQ/Sergey/tests_ice40
2019-08-22 Eddie HungDo not propagate mem2reg attribute through to result
2019-08-22 Eddie HungRemove adffs_tb.v
2019-08-22 Eddie HungIn sat: 'x' in init attr should not override constant
2019-08-22 Eddie HungWIP for equivalency checking memories
2019-08-22 Eddie HungDo not print OKAY
2019-08-22 Eddie HungFail if iverilog fails
2019-08-22 Eddie HungHide tri-state warning message for now
2019-08-22 Eddie HungRemove unused output
2019-08-22 Eddie HungFix tribuf test
2019-08-22 Eddie HungFix comments
2019-08-22 Eddie HungRemove tech independent synthesis
2019-08-22 Eddie HungRemove dffe instantation
2019-08-22 Eddie HungMove $dffe to dffs.{v,ys}
2019-08-22 Eddie HungMake multiplier wider, do not do tech independent synth
2019-08-22 Eddie HungMerge pull request #1319 from TeaEngineering/shuckc...
2019-08-22 Eddie HungMerge pull request #1317 from YosysHQ/eddie/opt_expr_shiftx
2019-08-22 Clifford WolfMerge pull request #1289 from mmicko/anlogic_fixes
2019-08-22 Clifford WolfMerge pull request #1281 from mmicko/efinix
2019-08-22 Eddie HungRespect opt_expr -keepdc as per @cliffordwolf
2019-08-22 Eddie HungHandle $shift and Y_WIDTH > 1 as per @cliffordwolf
2019-08-22 Clifford WolfMerge pull request #1316 from YosysHQ/eddie/fix_mem2reg
2019-08-22 Eddie HungAdd test
2019-08-21 Eddie Hungmem2reg to preserve user attributes and src
2019-08-21 SergeyDegtyarFix all comments from PR
2019-08-21 Clifford WolfMerge pull request #1314 from YosysHQ/eddie/fix_techmap
2019-08-21 SergeyDegtyarAdd temp directory
2019-08-21 Eddie HungAdd test
2019-08-20 Eddie HungMerge pull request #1209 from YosysHQ/eddie/synth_xilinx
2019-08-20 Eddie HungMerge pull request #1304 from YosysHQ/eddie/abc9_refactor
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-20 SergeyDegtyarFix tests; Remove simulation;
2019-08-20 Clifford WolfMerge pull request #1298 from YosysHQ/clifford/pmgen
2019-08-20 Clifford WolfMerge branch 'master' into clifford/pmgen
2019-08-20 Clifford WolfAdd test case for real parameters
2019-08-20 Clifford WolfMerge pull request #1308 from jakobwenzel/real_params
2019-08-20 SergeyDegtyarAdd new tests for ice40 architecture
2019-08-20 whitequarkMerge pull request #1309 from whitequark/proc_clean...
2019-08-19 whitequarkproc_clean: fix order of switch insertion.
2019-08-19 Clifford WolfMerge pull request #1306 from mmicko/gitignore_fix
2019-08-19 Clifford WolfAdd *.sv to tests/simple_abc9/.gitignore
2019-08-19 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-08-19 Clifford WolfMerge pull request #1305 from YosysHQ/clifford/testfast
2019-08-19 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-08-19 Eddie HungRemoval of more `stat` calls from tests
2019-08-18 Miodrag MilanovicMerge remote-tracking branch 'upstream/master' into...
2019-08-18 whitequarkMerge pull request #1290 from YosysHQ/eddie/pr1266_again
2019-08-18 whitequarkMerge branch 'master' into eddie/pr1266_again
2019-08-17 Clifford WolfMerge pull request #1283 from YosysHQ/clifford/fix1255
2019-08-17 Clifford WolfMerge pull request #1303 from YosysHQ/bogdanvuk/opt_share
2019-08-17 Clifford WolfMerge pull request #1300 from YosysHQ/eddie/cleanup2
2019-08-17 Clifford WolfSpeed up "make test" and related cleanups
2019-08-17 Clifford WolfAdd test for pmtest_test "reduce" demo pattern
2019-08-16 Eddie HungMerge pull request #1250 from bwidawsk/master
2019-08-16 Eddie HungMerge https://github.com/bogdanvuk/yosys into bogdanvuk...
2019-08-16 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-16 Clifford WolfMerge pull request #1302 from mmicko/dfflibmap_regression
2019-08-16 Clifford WolfDo not use Verific in tests/various/write_gzip.ys
2019-08-15 Clifford WolfMerge branch 'master' into clifford/fix1255
2019-08-15 Clifford WolfMerge branch 'master' into clifford/ids
2019-08-12 Eddie HungRevert "Merge pull request #1280 from YosysHQ/revert...
2019-08-12 Serge BazanskiMerge pull request #1152 from 1138-4EB/feat-docker
2019-08-12 Eddie HungMerge pull request #1277 from YosysHQ/eddie/fix_1262
2019-08-12 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-10 Eddie HungMerge pull request #1280 from YosysHQ/revert-1266-eddie...
2019-08-10 David ShahRevert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"
2019-08-10 Clifford WolfMerge pull request #1258 from YosysHQ/eddie/cleanup
2019-08-10 Clifford WolfMerge pull request #1261 from YosysHQ/clifford/verific_init
2019-08-10 Clifford WolfMerge pull request #1263 from ucb-bar/firrtl_err_on_uns...
2019-08-10 Clifford WolfMerge pull request #1270 from YosysHQ/eddie/alu_lcu_doc
2019-08-09 Eddie HungAdd $alu tests
2019-08-09 Eddie HungAdd alumacc versions of opt_expr tests
2019-08-09 Eddie HungAdd new $alu test, remove wreduce
2019-08-09 Eddie HungCleanup some more
next