yosys.git
4 years agoMerge remote-tracking branch 'origin/eddie/abc9_refactor' into xaig_arrival_required
Eddie Hung [Mon, 6 Jan 2020 17:44:00 +0000 (09:44 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_refactor' into xaig_arrival_required

4 years agowrite_xaiger to pad, not abc9_ops -prep_holes
Eddie Hung [Sun, 5 Jan 2020 18:20:24 +0000 (10:20 -0800)]
write_xaiger to pad, not abc9_ops -prep_holes

4 years agoCleanup
Eddie Hung [Sat, 4 Jan 2020 17:30:48 +0000 (09:30 -0800)]
Cleanup

4 years agoFix when -dff not given
Eddie Hung [Sat, 4 Jan 2020 17:17:01 +0000 (09:17 -0800)]
Fix when -dff not given

4 years agoCall -prep_holes before aigmap; fix topo ordering
Eddie Hung [Fri, 3 Jan 2020 23:38:18 +0000 (15:38 -0800)]
Call -prep_holes before aigmap; fix topo ordering

4 years agoWIP
Eddie Hung [Fri, 3 Jan 2020 22:59:55 +0000 (14:59 -0800)]
WIP

4 years agoPreserve topo ordering from -prep_holes to write_xaiger
Eddie Hung [Fri, 3 Jan 2020 22:37:58 +0000 (14:37 -0800)]
Preserve topo ordering from -prep_holes to write_xaiger

4 years agoWIP
Eddie Hung [Fri, 3 Jan 2020 21:21:56 +0000 (13:21 -0800)]
WIP

4 years agoWIP
Eddie Hung [Fri, 3 Jan 2020 21:08:52 +0000 (13:08 -0800)]
WIP

4 years agoRemove a few log_{push,pop}()
Eddie Hung [Fri, 3 Jan 2020 00:14:04 +0000 (16:14 -0800)]
Remove a few log_{push,pop}()

4 years agoaigmap everything
Eddie Hung [Fri, 3 Jan 2020 00:13:44 +0000 (16:13 -0800)]
aigmap everything

4 years agoscc command to ignore blackboxes
Eddie Hung [Fri, 3 Jan 2020 00:06:39 +0000 (16:06 -0800)]
scc command to ignore blackboxes

4 years agoMove scc operations out of inner loop
Eddie Hung [Fri, 3 Jan 2020 00:00:26 +0000 (16:00 -0800)]
Move scc operations out of inner loop

4 years agoCleanup
Eddie Hung [Thu, 2 Jan 2020 23:58:45 +0000 (15:58 -0800)]
Cleanup

4 years agoMerge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor
Eddie Hung [Thu, 2 Jan 2020 23:57:35 +0000 (15:57 -0800)]
Merge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Thu, 2 Jan 2020 23:14:12 +0000 (15:14 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoCombine tests to check multiple clock domains
Eddie Hung [Thu, 2 Jan 2020 22:38:59 +0000 (14:38 -0800)]
Combine tests to check multiple clock domains

4 years agoMerge pull request #1604 from whitequark/unify-ram-naming
whitequark [Thu, 2 Jan 2020 21:06:17 +0000 (21:06 +0000)]
Merge pull request #1604 from whitequark/unify-ram-naming

Harmonize BRAM/LUTRAM descriptions across all of Yosys

4 years agosynth_xilinx -dff to work with abc too
Eddie Hung [Thu, 2 Jan 2020 20:53:26 +0000 (12:53 -0800)]
synth_xilinx -dff to work with abc too

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Thu, 2 Jan 2020 20:44:06 +0000 (12:44 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd 'abc9 -dff' to CHANGELOG
Eddie Hung [Thu, 2 Jan 2020 20:42:28 +0000 (12:42 -0800)]
Add 'abc9 -dff' to CHANGELOG

4 years agoUpdate doc
Eddie Hung [Thu, 2 Jan 2020 20:41:57 +0000 (12:41 -0800)]
Update doc

4 years agoUpdate comments
Eddie Hung [Thu, 2 Jan 2020 20:39:52 +0000 (12:39 -0800)]
Update comments

4 years agoabc9 -keepff -> -dff; refactor dff operations
Eddie Hung [Thu, 2 Jan 2020 20:36:54 +0000 (12:36 -0800)]
abc9 -keepff -> -dff; refactor dff operations

4 years agoMerge pull request #1609 from YosysHQ/clifford/fix1596
Clifford Wolf [Thu, 2 Jan 2020 18:57:27 +0000 (19:57 +0100)]
Merge pull request #1609 from YosysHQ/clifford/fix1596

Always create $shl, $shr, $sshl, $sshr cells with unsigned B inputs

4 years agoAlways create $shl, $shr, $sshl, $sshr cells with unsigned B inputs
Clifford Wolf [Thu, 2 Jan 2020 17:58:45 +0000 (18:58 +0100)]
Always create $shl, $shr, $sshl, $sshr cells with unsigned B inputs

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1601 from YosysHQ/eddie/synth_retime
Eddie Hung [Thu, 2 Jan 2020 16:46:24 +0000 (08:46 -0800)]
Merge pull request #1601 from YosysHQ/eddie/synth_retime

"abc -dff" to no longer retime by default

4 years agoMerge pull request #1608 from YosysHQ/eddie/ifndef_YOSYS
Eddie Hung [Thu, 2 Jan 2020 16:46:02 +0000 (08:46 -0800)]
Merge pull request #1608 from YosysHQ/eddie/ifndef_YOSYS

ifdef __ICARUS__ -> ifndef YOSYS

4 years agoifdef __ICARUS__ -> ifndef YOSYS
Eddie Hung [Thu, 2 Jan 2020 01:33:10 +0000 (17:33 -0800)]
ifdef __ICARUS__ -> ifndef YOSYS

4 years agoMerge pull request #1606 from YosysHQ/eddie/improve_tests
Eddie Hung [Wed, 1 Jan 2020 21:31:46 +0000 (13:31 -0800)]
Merge pull request #1606 from YosysHQ/eddie/improve_tests

Fix a few issues in tests/arch/*

4 years agoRevert insertion of 'reg', leave note behind
Eddie Hung [Wed, 1 Jan 2020 17:05:46 +0000 (09:05 -0800)]
Revert insertion of 'reg', leave note behind

4 years agoMerge pull request #1605 from YosysHQ/iopad_fix
Miodrag Milanović [Wed, 1 Jan 2020 16:46:45 +0000 (17:46 +0100)]
Merge pull request #1605 from YosysHQ/iopad_fix

iopad mapping should take care of existing io buffers

4 years agoFix anlogic async flop mapping
Eddie Hung [Wed, 1 Jan 2020 16:43:16 +0000 (08:43 -0800)]
Fix anlogic async flop mapping

4 years agoClamp -46ps for FDPE* too
Eddie Hung [Wed, 1 Jan 2020 16:39:00 +0000 (08:39 -0800)]
Clamp -46ps for FDPE* too

4 years agoGet rid of (* abc9_keep *) in write_xaiger too
Eddie Hung [Wed, 1 Jan 2020 16:38:23 +0000 (08:38 -0800)]
Get rid of (* abc9_keep *) in write_xaiger too

4 years agoCleanup abc9, update doc for -keepff option
Eddie Hung [Wed, 1 Jan 2020 16:34:57 +0000 (08:34 -0800)]
Cleanup abc9, update doc for -keepff option

4 years agoRestore abc9 -keepff
Eddie Hung [Wed, 1 Jan 2020 16:34:43 +0000 (08:34 -0800)]
Restore abc9 -keepff

4 years agoattributes.count() -> get_bool_attribute()
Eddie Hung [Wed, 1 Jan 2020 06:54:56 +0000 (22:54 -0800)]
attributes.count() -> get_bool_attribute()

4 years agoAdded a test case
Miodrag Milanovic [Wed, 1 Jan 2020 15:24:30 +0000 (16:24 +0100)]
Added a test case

4 years agotake skip wire bits into account
Miodrag Milanovic [Wed, 1 Jan 2020 15:13:14 +0000 (16:13 +0100)]
take skip wire bits into account

4 years agoHarmonize BRAM/LUTRAM descriptions across all of Yosys.
whitequark [Wed, 1 Jan 2020 12:30:00 +0000 (12:30 +0000)]
Harmonize BRAM/LUTRAM descriptions across all of Yosys.

This commit:
  * renames all remaining instances of "DRAM" (which is ambiguous)
    to "LUTRAM" (which is not), finishing the work started in
    the commit 698ab9be;
  * renames memory rule files to brams.txt/lutrams.txt;
  * adds/renames script labels map_bram/map_lutram;
  * extracts where necessary script labels map_ffram and map_gates;
  * adds where necessary options -nobram/-nolutram.

The end result is that BRAM/LUTRAM/FFRAM aspects of every target
are now consistent with each other.

Per architecture:
  * anlogic: rename drams.txt→lutrams.txt, add -nolutram, add
    :map_lutram, :map_ffram, :map_gates
  * ecp5: rename bram.txt→brams.txt, lutram.txt→lutrams.txt
  * efinix: rename bram.txt→brams.txt, add -nobram, add :map_ffram,
    :map_gates
  * gowin: rename bram.txt→brams.txt, dram.txt→lutrams.txt,
    rename -nodram→-nolutram (-nodram still recognized), rename
    :bram→:map_bram, :dram→:map_lutram, add :map_ffram, :map_gates

4 years agoRe-arrange FD order
Eddie Hung [Wed, 1 Jan 2020 02:47:38 +0000 (18:47 -0800)]
Re-arrange FD order

4 years agoMissing character
Eddie Hung [Wed, 1 Jan 2020 02:42:11 +0000 (18:42 -0800)]
Missing character

4 years agoDo not do call equiv_opt when no sim model exists
Eddie Hung [Wed, 1 Jan 2020 02:40:30 +0000 (18:40 -0800)]
Do not do call equiv_opt when no sim model exists

4 years agoFix warnings
Eddie Hung [Wed, 1 Jan 2020 02:40:11 +0000 (18:40 -0800)]
Fix warnings

4 years agoCall equiv_opt with -multiclock and -assert
Eddie Hung [Wed, 1 Jan 2020 02:39:32 +0000 (18:39 -0800)]
Call equiv_opt with -multiclock and -assert

4 years agoCleanup xilinx boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:44 +0000 (18:29 -0800)]
Cleanup xilinx boxes

4 years agoCleanup ice40 boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:37 +0000 (18:29 -0800)]
Cleanup ice40 boxes

4 years agoCleanup ecp5 boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:29 +0000 (18:29 -0800)]
Cleanup ecp5 boxes

4 years agoparse_xaiger to not take box_lookup
Eddie Hung [Wed, 1 Jan 2020 01:06:03 +0000 (17:06 -0800)]
parse_xaiger to not take box_lookup

4 years agoparse_xaiger to reorder ports too
Eddie Hung [Wed, 1 Jan 2020 00:50:22 +0000 (16:50 -0800)]
parse_xaiger to reorder ports too

4 years agoAdd some abc9 dff tests
Eddie Hung [Wed, 1 Jan 2020 00:16:05 +0000 (16:16 -0800)]
Add some abc9 dff tests

4 years agoDo not re-order carry chain ports, just precompute iteration order
Eddie Hung [Wed, 1 Jan 2020 00:12:40 +0000 (16:12 -0800)]
Do not re-order carry chain ports, just precompute iteration order

4 years agoUpdate abc9_xc7.box comments
Eddie Hung [Tue, 31 Dec 2019 23:25:46 +0000 (15:25 -0800)]
Update abc9_xc7.box comments

4 years agoFDCE ports to be alphabetical
Eddie Hung [Tue, 31 Dec 2019 23:24:02 +0000 (15:24 -0800)]
FDCE ports to be alphabetical

4 years agoFix attributes on $__ABC9_ASYNC[01] whitebox
Eddie Hung [Tue, 31 Dec 2019 19:14:11 +0000 (11:14 -0800)]
Fix attributes on $__ABC9_ASYNC[01] whitebox

4 years agoFix incorrect $__ABC9_ASYNC[01] box
Eddie Hung [Tue, 31 Dec 2019 19:13:50 +0000 (11:13 -0800)]
Fix incorrect $__ABC9_ASYNC[01] box

4 years agowrite_xaiger: be more precise with ff_bits, remove ff_aig_map
Eddie Hung [Tue, 31 Dec 2019 18:21:11 +0000 (10:21 -0800)]
write_xaiger: be more precise with ff_bits, remove ff_aig_map

4 years agoRetry getting rid of write_xaiger's holes_mode
Eddie Hung [Tue, 31 Dec 2019 17:59:17 +0000 (09:59 -0800)]
Retry getting rid of write_xaiger's holes_mode

4 years agoRevert "Get rid of holes_mode"
Eddie Hung [Tue, 31 Dec 2019 07:29:14 +0000 (23:29 -0800)]
Revert "Get rid of holes_mode"

This reverts commit 7997e2a90fd37886241b7eb657408177ef7f6fa7.

4 years agoMerge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor
Eddie Hung [Tue, 31 Dec 2019 07:10:08 +0000 (23:10 -0800)]
Merge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor

4 years agoRemove abc9 -clk option
Eddie Hung [Tue, 31 Dec 2019 06:59:14 +0000 (22:59 -0800)]
Remove abc9 -clk option

4 years agoabc9_ops -prep_dff cope with lack of holes module
Eddie Hung [Tue, 31 Dec 2019 06:58:39 +0000 (22:58 -0800)]
abc9_ops -prep_dff cope with lack of holes module

4 years agoRename struct
Eddie Hung [Tue, 31 Dec 2019 06:56:19 +0000 (22:56 -0800)]
Rename struct

4 years agoGet rid of holes_mode
Eddie Hung [Tue, 31 Dec 2019 02:24:29 +0000 (18:24 -0800)]
Get rid of holes_mode

4 years agoMerge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor
Eddie Hung [Tue, 31 Dec 2019 04:14:24 +0000 (20:14 -0800)]
Merge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor

4 years agoAdd -D DFF_MODE to abc9_map test
Eddie Hung [Tue, 31 Dec 2019 04:13:25 +0000 (20:13 -0800)]
Add -D DFF_MODE to abc9_map test

4 years agoMove Pass::call() out of abc9_ops into abc9
Eddie Hung [Tue, 31 Dec 2019 03:23:54 +0000 (19:23 -0800)]
Move Pass::call() out of abc9_ops into abc9

4 years agoCleanup
Eddie Hung [Tue, 31 Dec 2019 02:49:33 +0000 (18:49 -0800)]
Cleanup

4 years agoUse function arg
Eddie Hung [Tue, 31 Dec 2019 02:47:06 +0000 (18:47 -0800)]
Use function arg

4 years agoholes_module to be whitebox
Eddie Hung [Tue, 31 Dec 2019 02:46:22 +0000 (18:46 -0800)]
holes_module to be whitebox

4 years agoRid unnecessary if
Eddie Hung [Tue, 31 Dec 2019 02:26:35 +0000 (18:26 -0800)]
Rid unnecessary if

4 years agoGet rid of holes_mode
Eddie Hung [Tue, 31 Dec 2019 02:24:29 +0000 (18:24 -0800)]
Get rid of holes_mode

4 years agoAdd abc9_ops -prep_holes
Eddie Hung [Tue, 31 Dec 2019 02:00:49 +0000 (18:00 -0800)]
Add abc9_ops -prep_holes

4 years agoAdd abc9_ops -prep_dff
Eddie Hung [Tue, 31 Dec 2019 00:36:33 +0000 (16:36 -0800)]
Add abc9_ops -prep_dff

4 years agoRestore count_outputs, move process check to abc
Eddie Hung [Tue, 31 Dec 2019 00:29:08 +0000 (16:29 -0800)]
Restore count_outputs, move process check to abc

4 years agoFix struct name
Eddie Hung [Tue, 31 Dec 2019 00:21:20 +0000 (16:21 -0800)]
Fix struct name

4 years agoMerge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor
Eddie Hung [Tue, 31 Dec 2019 00:20:58 +0000 (16:20 -0800)]
Merge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor

4 years agoRemove delay targets doc
Eddie Hung [Tue, 31 Dec 2019 00:11:42 +0000 (16:11 -0800)]
Remove delay targets doc

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Tue, 31 Dec 2019 00:01:38 +0000 (16:01 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agowrite_xaiger to use scratchpad for stats; cleanup abc9
Eddie Hung [Mon, 30 Dec 2019 23:35:33 +0000 (15:35 -0800)]
write_xaiger to use scratchpad for stats; cleanup abc9

4 years agoGrammar
Eddie Hung [Mon, 30 Dec 2019 20:26:39 +0000 (12:26 -0800)]
Grammar

4 years agoRemove submod changes
Eddie Hung [Mon, 30 Dec 2019 22:56:14 +0000 (14:56 -0800)]
Remove submod changes

4 years agoUpdate timings for Xilinx S7 cells
Eddie Hung [Fri, 27 Dec 2019 20:15:33 +0000 (12:15 -0800)]
Update timings for Xilinx S7 cells

4 years agoRemove unused
Eddie Hung [Mon, 30 Dec 2019 22:35:52 +0000 (14:35 -0800)]
Remove unused

4 years agoDo not offset FD* box timings due to -46ps Tsu
Eddie Hung [Fri, 27 Dec 2019 20:03:19 +0000 (12:03 -0800)]
Do not offset FD* box timings due to -46ps Tsu

4 years agoCall "proc" if processes inside whiteboxes
Eddie Hung [Mon, 30 Dec 2019 22:33:05 +0000 (14:33 -0800)]
Call "proc" if processes inside whiteboxes

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 30 Dec 2019 22:31:42 +0000 (14:31 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd CHANGELOG entry, add abc9_{flop,keep} attr to README.md
Eddie Hung [Mon, 30 Dec 2019 22:24:58 +0000 (14:24 -0800)]
Add CHANGELOG entry, add abc9_{flop,keep} attr to README.md

4 years agoTidy up abc9_map.v
Eddie Hung [Mon, 30 Dec 2019 22:19:29 +0000 (14:19 -0800)]
Tidy up abc9_map.v

4 years agoAdd "synth_xilinx -dff" option, cleanup abc9
Eddie Hung [Mon, 30 Dec 2019 22:13:16 +0000 (14:13 -0800)]
Add "synth_xilinx -dff" option, cleanup abc9

4 years agoUpdate doc that "-retime" calls abc with "-dff -D 1"
Eddie Hung [Mon, 30 Dec 2019 20:11:45 +0000 (12:11 -0800)]
Update doc that "-retime" calls abc with "-dff -D 1"

4 years agoDisable synth_gowin -abc9 as it offers no advantages yet
Eddie Hung [Mon, 30 Dec 2019 20:05:52 +0000 (12:05 -0800)]
Disable synth_gowin -abc9 as it offers no advantages yet

4 years agoRevert "Revert "synth_* with -retime option now calls abc with -D 1 as well""
Eddie Hung [Mon, 30 Dec 2019 20:09:53 +0000 (12:09 -0800)]
Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""

This reverts commit 6008bb7002f874e5c748eaa2050e7b6c17b32745.

4 years agoRevert "ABC to call retime all the time"
Eddie Hung [Mon, 30 Dec 2019 19:57:18 +0000 (11:57 -0800)]
Revert "ABC to call retime all the time"

This reverts commit 9aa94370a54c016421740d2ce32ef0aa338d0dbd.

4 years agoGrammar
Eddie Hung [Mon, 30 Dec 2019 20:26:39 +0000 (12:26 -0800)]
Grammar

4 years agoMerge pull request #1589 from YosysHQ/iopad_default
Miodrag Milanović [Mon, 30 Dec 2019 19:34:31 +0000 (20:34 +0100)]
Merge pull request #1589 from YosysHQ/iopad_default

Make iopad option default for all xilinx flows

4 years agoMerge pull request #1599 from YosysHQ/eddie/retry_1588
Eddie Hung [Mon, 30 Dec 2019 18:01:02 +0000 (10:01 -0800)]
Merge pull request #1599 from YosysHQ/eddie/retry_1588

Retry #1588 -- "write_xaiger: only instantiate each whitebox cell type once"

4 years agoMerge pull request #1600 from YosysHQ/eddie/cleanup_ecp5
Eddie Hung [Mon, 30 Dec 2019 18:00:47 +0000 (10:00 -0800)]
Merge pull request #1600 from YosysHQ/eddie/cleanup_ecp5

Nitpick cleanup for ecp5

4 years agoFix new tests
Miodrag Milanovic [Sat, 28 Dec 2019 15:43:19 +0000 (16:43 +0100)]
Fix new tests