yosys.git
4 years agoRefactor using subpattern in_dffe
Eddie Hung [Mon, 9 Sep 2019 22:51:14 +0000 (15:51 -0700)]
Refactor using subpattern in_dffe

4 years agoUpdate macc test
Eddie Hung [Sat, 7 Sep 2019 06:19:03 +0000 (23:19 -0700)]
Update macc test

4 years agoPack CREG
Eddie Hung [Sat, 7 Sep 2019 04:01:36 +0000 (21:01 -0700)]
Pack CREG

4 years agoUse unextend lambda
Eddie Hung [Sat, 7 Sep 2019 01:40:11 +0000 (18:40 -0700)]
Use unextend lambda

4 years agoFix ffP just like ffPmux
Eddie Hung [Fri, 6 Sep 2019 22:51:21 +0000 (15:51 -0700)]
Fix ffP just like ffPmux

4 years agoPerform D replacement properly
Eddie Hung [Fri, 6 Sep 2019 22:46:15 +0000 (15:46 -0700)]
Perform D replacement properly

4 years agoAdd support for DREG
Eddie Hung [Fri, 6 Sep 2019 22:32:26 +0000 (15:32 -0700)]
Add support for DREG

4 years agoFine tune nusers when postAdd
Eddie Hung [Fri, 6 Sep 2019 22:11:41 +0000 (15:11 -0700)]
Fine tune nusers when postAdd

4 years agoFix macc and mul tests
Eddie Hung [Fri, 6 Sep 2019 21:57:36 +0000 (14:57 -0700)]
Fix macc and mul tests

4 years agoFix enable polarity
Eddie Hung [Fri, 6 Sep 2019 21:36:10 +0000 (14:36 -0700)]
Fix enable polarity

4 years agoLogging for ffAD
Eddie Hung [Fri, 6 Sep 2019 21:10:12 +0000 (14:10 -0700)]
Logging for ffAD

4 years agoAdd support for pre-adder and AD register
Eddie Hung [Fri, 6 Sep 2019 21:06:57 +0000 (14:06 -0700)]
Add support for pre-adder and AD register

4 years agoDocument (* gentb_skip *) attr for test_autotb
Eddie Hung [Fri, 6 Sep 2019 20:28:15 +0000 (13:28 -0700)]
Document (* gentb_skip *) attr for test_autotb

4 years agoTidy up ice40_dsp some more
Eddie Hung [Fri, 6 Sep 2019 19:16:40 +0000 (12:16 -0700)]
Tidy up ice40_dsp some more

4 years agoUse more index patterns
Eddie Hung [Fri, 6 Sep 2019 19:07:35 +0000 (12:07 -0700)]
Use more index patterns

4 years agoFix ffPmux to cope with offset
Eddie Hung [Fri, 6 Sep 2019 18:58:56 +0000 (11:58 -0700)]
Fix ffPmux to cope with offset

4 years agoSimplify filter expressions
Eddie Hung [Fri, 6 Sep 2019 18:39:20 +0000 (11:39 -0700)]
Simplify filter expressions

4 years agoFix nusers condition in ffP
Eddie Hung [Fri, 6 Sep 2019 18:38:19 +0000 (11:38 -0700)]
Fix nusers condition in ffP

4 years agoCheck adder is <= 48 bits before packing
Eddie Hung [Fri, 6 Sep 2019 17:35:06 +0000 (10:35 -0700)]
Check adder is <= 48 bits before packing

4 years agoCheck nusers for M and P enable muxes
Eddie Hung [Fri, 6 Sep 2019 16:59:35 +0000 (09:59 -0700)]
Check nusers for M and P enable muxes

4 years agoMore nusers() checks for A and B enable muxes
Eddie Hung [Fri, 6 Sep 2019 16:47:32 +0000 (09:47 -0700)]
More nusers() checks for A and B enable muxes

4 years agoCleanup
Eddie Hung [Fri, 6 Sep 2019 04:39:52 +0000 (21:39 -0700)]
Cleanup

4 years agoSensitive to CEB CEM CEP polarity
Eddie Hung [Fri, 6 Sep 2019 04:38:35 +0000 (21:38 -0700)]
Sensitive to CEB CEM CEP polarity

4 years agoffAmuxAB -> ffAenpol
Eddie Hung [Fri, 6 Sep 2019 04:28:28 +0000 (21:28 -0700)]
ffAmuxAB -> ffAenpol

4 years agoRefactor ice40_dsp
Eddie Hung [Fri, 6 Sep 2019 01:06:59 +0000 (18:06 -0700)]
Refactor ice40_dsp

4 years agoFix broken ice40_dsp
Eddie Hung [Fri, 6 Sep 2019 00:58:19 +0000 (17:58 -0700)]
Fix broken ice40_dsp

4 years agoMerge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp
Eddie Hung [Thu, 5 Sep 2019 20:01:34 +0000 (13:01 -0700)]
Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp

4 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Thu, 5 Sep 2019 20:01:27 +0000 (13:01 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

4 years agoDo not check signedness of post-adder (assume taken care of by DSP)
Eddie Hung [Thu, 5 Sep 2019 19:38:47 +0000 (12:38 -0700)]
Do not check signedness of post-adder (assume taken care of by DSP)

4 years agoMerge pull request #1312 from YosysHQ/xaig_arrival
Eddie Hung [Thu, 5 Sep 2019 19:00:23 +0000 (12:00 -0700)]
Merge pull request #1312 from YosysHQ/xaig_arrival

Allow arrival times of sequential outputs to be specified to abc9

4 years agoUse filter instead of index; support wide enable muxes
Eddie Hung [Thu, 5 Sep 2019 18:55:14 +0000 (11:55 -0700)]
Use filter instead of index; support wide enable muxes

4 years agoDo not make ff[MP]mux semioptional, use sigmap
Eddie Hung [Thu, 5 Sep 2019 18:46:38 +0000 (11:46 -0700)]
Do not make ff[MP]mux semioptional, use sigmap

4 years agoAdd support for CEP
Eddie Hung [Thu, 5 Sep 2019 18:00:27 +0000 (11:00 -0700)]
Add support for CEP

4 years agoAdd support for CEB, remove check on nusers
Eddie Hung [Thu, 5 Sep 2019 17:46:33 +0000 (10:46 -0700)]
Add support for CEB, remove check on nusers

4 years agoCleanup
Eddie Hung [Thu, 5 Sep 2019 17:07:56 +0000 (10:07 -0700)]
Cleanup

4 years agoSupport CEA
Eddie Hung [Thu, 5 Sep 2019 17:07:26 +0000 (10:07 -0700)]
Support CEA

4 years agoBump version
Clifford Wolf [Thu, 5 Sep 2019 17:05:13 +0000 (19:05 +0200)]
Bump version

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1350 from YosysHQ/clifford/fixsby59
Clifford Wolf [Thu, 5 Sep 2019 16:14:28 +0000 (18:14 +0200)]
Merge pull request #1350 from YosysHQ/clifford/fixsby59

Properly construct $live and $fair cells from "if (...) assume/assert (s_eventually ...)"

4 years agoMerge pull request #1330 from YosysHQ/clifford/fix1145
Clifford Wolf [Thu, 5 Sep 2019 16:10:40 +0000 (18:10 +0200)]
Merge pull request #1330 from YosysHQ/clifford/fix1145

Add flatten handling of pre-existing wires as created by interfaces

4 years agosimple/peepopt.v tests to various/peepopt.ys with equiv_opt & select
Eddie Hung [Thu, 5 Sep 2019 15:43:22 +0000 (08:43 -0700)]
simple/peepopt.v tests to various/peepopt.ys with equiv_opt & select

4 years agoRevert "abc9 followed by clean otherwise netlist could be invalid for sim"
Eddie Hung [Thu, 5 Sep 2019 15:25:09 +0000 (08:25 -0700)]
Revert "abc9 followed by clean otherwise netlist could be invalid for sim"

This reverts commit 6fe1ca633d90fb238d2671dba3d7f772c263a497.

4 years agoUpdate README.md
Clifford Wolf [Thu, 5 Sep 2019 15:20:29 +0000 (17:20 +0200)]
Update README.md

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoRename conflicting wires on flatten/techmap, add "hierconn" attribute, fixes #1220
Clifford Wolf [Thu, 5 Sep 2019 11:51:53 +0000 (13:51 +0200)]
Rename conflicting wires on flatten/techmap, add "hierconn" attribute, fixes #1220

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoAdd flatten handling of pre-existing wires as created by interfaces, fixes #1145
Clifford Wolf [Mon, 26 Aug 2019 22:55:43 +0000 (00:55 +0200)]
Add flatten handling of pre-existing wires as created by interfaces, fixes #1145

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoGet rid of sigBset too
Eddie Hung [Thu, 5 Sep 2019 00:22:02 +0000 (17:22 -0700)]
Get rid of sigBset too

4 years agoMerge pull request #1356 from emilazy/fix-makefile-shell
whitequark [Thu, 5 Sep 2019 00:20:47 +0000 (00:20 +0000)]
Merge pull request #1356 from emilazy/fix-makefile-shell

Use $(shell :; ...) in Makefile to force shell

4 years agoGet rid of sigAset
Eddie Hung [Thu, 5 Sep 2019 00:18:49 +0000 (17:18 -0700)]
Get rid of sigAset

4 years agoGet rid of sigPused
Eddie Hung [Thu, 5 Sep 2019 00:06:17 +0000 (17:06 -0700)]
Get rid of sigPused

4 years agoCompute sigP properly
Eddie Hung [Wed, 4 Sep 2019 23:59:57 +0000 (16:59 -0700)]
Compute sigP properly

4 years agoUse $(shell :; ...) in Makefile to force shell
Emily [Wed, 4 Sep 2019 23:30:29 +0000 (00:30 +0100)]
Use $(shell :; ...) in Makefile to force shell

Did you think that `$(shell command -v ...)` would actually get run by
the shell? Foolish mortal; GNU Make is obviously far more wise than
thee, as it optimizes it to a direct -- and hence broken (since
`command` is a shell builtin) -- exec. This horrifying contortion
ensures that an actual shell runs the command and fixes the behaviour.

@Shizmob found the source of this misbehaviour; turns out gmake has a
hard-coded, incomplete list of shell builtins:

    https://github.com/mirror/make/blob/715c787dc69bac37827a7d6ea6d40a86c55b5583/src/job.c#L2691

This contains `command`, but the whole function is full of horrible
heuristic garbage so who knows. I'm so sorry.

4 years agoResolve TODO with pin assignments for SRL*
Eddie Hung [Wed, 4 Sep 2019 22:47:36 +0000 (15:47 -0700)]
Resolve TODO with pin assignments for SRL*

4 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Wed, 4 Sep 2019 22:36:07 +0000 (15:36 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

4 years agoRevert "parse_xaiger() to do "clean -purge""
Eddie Hung [Wed, 4 Sep 2019 22:21:39 +0000 (15:21 -0700)]
Revert "parse_xaiger() to do "clean -purge""

This reverts commit 5d16bf831688ff665b0ec2abd6835b71320b2db5.

4 years agoabc9 followed by clean otherwise netlist could be invalid for sim
Eddie Hung [Wed, 4 Sep 2019 22:20:04 +0000 (15:20 -0700)]
abc9 followed by clean otherwise netlist could be invalid for sim

4 years agoRemove log_cell() calls
Eddie Hung [Wed, 4 Sep 2019 20:42:44 +0000 (13:42 -0700)]
Remove log_cell() calls

4 years agoMerge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp
Eddie Hung [Wed, 4 Sep 2019 19:37:48 +0000 (12:37 -0700)]
Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp

4 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Wed, 4 Sep 2019 19:37:42 +0000 (12:37 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

4 years agoAdd peepopt_dffmuxext
Eddie Hung [Wed, 4 Sep 2019 19:35:15 +0000 (12:35 -0700)]
Add peepopt_dffmuxext

4 years agoAdd peepopt_dffmuxext tests
Eddie Hung [Wed, 4 Sep 2019 19:34:44 +0000 (12:34 -0700)]
Add peepopt_dffmuxext tests

4 years agoMerge pull request #1354 from emilazy/remove-which-use
whitequark [Wed, 4 Sep 2019 18:55:17 +0000 (18:55 +0000)]
Merge pull request #1354 from emilazy/remove-which-use

Replace `which` with `command -v` in Makefile too

4 years agoReplace `which` with `command -v` in Makefile too
Emily [Wed, 4 Sep 2019 18:01:00 +0000 (19:01 +0100)]
Replace `which` with `command -v` in Makefile too

4 years agoMerge pull request #1338 from YosysHQ/eddie/deferred_top
Eddie Hung [Wed, 4 Sep 2019 17:55:41 +0000 (10:55 -0700)]
Merge pull request #1338 from YosysHQ/eddie/deferred_top

hierarchy -auto-top to work with (* top *) modules from read/read_verilog -defer

4 years agoSupport CEM
Eddie Hung [Wed, 4 Sep 2019 17:52:51 +0000 (10:52 -0700)]
Support CEM

4 years agost.ffP from if to assert
Eddie Hung [Tue, 3 Sep 2019 23:37:59 +0000 (16:37 -0700)]
st.ffP from if to assert

4 years agoRename muxAB to postAddMux
Eddie Hung [Tue, 3 Sep 2019 23:24:59 +0000 (16:24 -0700)]
Rename muxAB to postAddMux

4 years agoUse choices for addAB, now called postAdd
Eddie Hung [Tue, 3 Sep 2019 23:10:16 +0000 (16:10 -0700)]
Use choices for addAB, now called postAdd

4 years agoAdd support for load value into DSP48E1.P
Eddie Hung [Tue, 3 Sep 2019 22:53:10 +0000 (15:53 -0700)]
Add support for load value into DSP48E1.P

4 years agoProcess post-adder first since C could be used for load-P
Eddie Hung [Tue, 3 Sep 2019 21:57:59 +0000 (14:57 -0700)]
Process post-adder first since C could be used for load-P

4 years agoUse feedback path for MACC
Eddie Hung [Tue, 3 Sep 2019 21:37:32 +0000 (14:37 -0700)]
Use feedback path for MACC

4 years agoAdopt @cliffordwolf's suggestion
Eddie Hung [Tue, 3 Sep 2019 19:18:50 +0000 (12:18 -0700)]
Adopt @cliffordwolf's suggestion

4 years agoExpand test with `hierarchy' without -auto-top
Eddie Hung [Tue, 3 Sep 2019 19:17:26 +0000 (12:17 -0700)]
Expand test with `hierarchy' without -auto-top

4 years agoAdd `read -noverific` before read
Eddie Hung [Tue, 3 Sep 2019 17:52:34 +0000 (10:52 -0700)]
Add `read -noverific` before read

4 years agoMerge remote-tracking branch 'origin/master' into eddie/deferred_top
Eddie Hung [Tue, 3 Sep 2019 17:49:21 +0000 (10:49 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/deferred_top

4 years agoMerge pull request #1351 from emilazy/remove-which-use
Clifford Wolf [Tue, 3 Sep 2019 07:27:47 +0000 (09:27 +0200)]
Merge pull request #1351 from emilazy/remove-which-use

Use `command -v` rather than `which`

4 years agoUse `command -v` rather than `which`
Emily [Mon, 2 Sep 2019 23:57:32 +0000 (00:57 +0100)]
Use `command -v` rather than `which`

4 years agoProperly construct $live and $fair cells from "if (...) assume/assert (s_eventually...
Clifford Wolf [Mon, 2 Sep 2019 20:56:38 +0000 (22:56 +0200)]
Properly construct $live and $fair cells from "if (...) assume/assert (s_eventually ...)"

Fixes https://github.com/YosysHQ/SymbiYosys/issues/59

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoAdd comments
Eddie Hung [Mon, 2 Sep 2019 19:22:15 +0000 (12:22 -0700)]
Add comments

4 years agoRename box
Eddie Hung [Mon, 2 Sep 2019 19:15:11 +0000 (12:15 -0700)]
Rename box

4 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Mon, 2 Sep 2019 19:13:44 +0000 (12:13 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

4 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Mon, 2 Sep 2019 19:13:33 +0000 (12:13 -0700)]
Merge branch 'master' of github.com:YosysHQ/yosys

4 years agoRecognise built-in types (e.g. $_DFF_*)
Eddie Hung [Sat, 31 Aug 2019 03:15:09 +0000 (20:15 -0700)]
Recognise built-in types (e.g. $_DFF_*)

4 years agoMerge pull request #1344 from YosysHQ/eddie/ice40_signed_macc
Eddie Hung [Sun, 1 Sep 2019 17:11:33 +0000 (10:11 -0700)]
Merge pull request #1344 from YosysHQ/eddie/ice40_signed_macc

ice40_dsp to allow signed multipliers

4 years agoMerge pull request #1347 from mmicko/fix_select_error_msg
Clifford Wolf [Sun, 1 Sep 2019 11:30:57 +0000 (13:30 +0200)]
Merge pull request #1347 from mmicko/fix_select_error_msg

Fix select command error msg, fixes issue #1081

4 years agoMerge pull request #1346 from mmicko/fix_ecp5_cells_sim
David Shah [Sun, 1 Sep 2019 09:01:27 +0000 (10:01 +0100)]
Merge pull request #1346 from mmicko/fix_ecp5_cells_sim

Fix TRELLIS_FF simulation model

4 years agoFix select command error msg, fixes issue #1081
Miodrag Milanovic [Sun, 1 Sep 2019 09:00:09 +0000 (11:00 +0200)]
Fix select command error msg, fixes issue #1081

4 years agoFix TRELLIS_FF simulation model
Miodrag Milanovic [Sat, 31 Aug 2019 09:12:06 +0000 (11:12 +0200)]
Fix TRELLIS_FF simulation model

4 years agoecp5_gsr: Fix typo
David Shah [Sat, 31 Aug 2019 08:58:46 +0000 (09:58 +0100)]
ecp5_gsr: Fix typo

Signed-off-by: David Shah <dave@ds0.me>
4 years agoMake abc9 test a bit more interesting
Eddie Hung [Sat, 31 Aug 2019 03:31:53 +0000 (20:31 -0700)]
Make abc9 test a bit more interesting

4 years agoRecognise built-in types (e.g. $_DFF_*)
Eddie Hung [Sat, 31 Aug 2019 03:15:09 +0000 (20:15 -0700)]
Recognise built-in types (e.g. $_DFF_*)

4 years agoRemove trailing space
Eddie Hung [Fri, 30 Aug 2019 23:44:11 +0000 (16:44 -0700)]
Remove trailing space

4 years agoFine tune xilinx_dsp pattern matcher
Eddie Hung [Fri, 30 Aug 2019 23:18:58 +0000 (16:18 -0700)]
Fine tune xilinx_dsp pattern matcher

4 years agoAdd macc test, with equiv_opt not currently passing
Eddie Hung [Fri, 30 Aug 2019 23:18:14 +0000 (16:18 -0700)]
Add macc test, with equiv_opt not currently passing

4 years agoautoremove ffM
Eddie Hung [Fri, 30 Aug 2019 22:30:04 +0000 (15:30 -0700)]
autoremove ffM

4 years agoRemove debug
Eddie Hung [Fri, 30 Aug 2019 22:03:43 +0000 (15:03 -0700)]
Remove debug

4 years agoffM before addAB
Eddie Hung [Fri, 30 Aug 2019 22:03:12 +0000 (15:03 -0700)]
ffM before addAB

4 years agoAnother oops
Eddie Hung [Fri, 30 Aug 2019 22:02:53 +0000 (15:02 -0700)]
Another oops

4 years agoUpdate commented out
Eddie Hung [Fri, 30 Aug 2019 22:01:38 +0000 (15:01 -0700)]
Update commented out

4 years agoUpdate test for ffM
Eddie Hung [Fri, 30 Aug 2019 22:01:08 +0000 (15:01 -0700)]
Update test for ffM

4 years agoAdd support for ffM
Eddie Hung [Fri, 30 Aug 2019 22:00:56 +0000 (15:00 -0700)]
Add support for ffM

4 years agoUpdate comment
Eddie Hung [Fri, 30 Aug 2019 22:00:40 +0000 (15:00 -0700)]
Update comment