yosys.git
7 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Sun, 15 Jan 2017 17:43:15 +0000 (09:43 -0800)]
Merge https://github.com/cliffordwolf/yosys

7 years agoFix bug in AstNode::mem2reg_as_needed_pass2()
Clifford Wolf [Sun, 15 Jan 2017 12:52:50 +0000 (13:52 +0100)]
Fix bug in AstNode::mem2reg_as_needed_pass2()

7 years agoFix $initstate handling bug in yosys-smtbmc
Clifford Wolf [Wed, 11 Jan 2017 13:14:12 +0000 (14:14 +0100)]
Fix $initstate handling bug in yosys-smtbmc

7 years agoUpdate ABC to hg id f8cadfe3861f
Clifford Wolf [Wed, 11 Jan 2017 09:56:27 +0000 (10:56 +0100)]
Update ABC to hg id f8cadfe3861f

7 years agoUpdated ABC to hg id 38b26a543f1d
Clifford Wolf [Sun, 8 Jan 2017 10:57:52 +0000 (11:57 +0100)]
Updated ABC to hg id 38b26a543f1d

7 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Thu, 5 Jan 2017 14:05:58 +0000 (06:05 -0800)]
Merge https://github.com/cliffordwolf/yosys

7 years agoFixed handling of local memories in functions
Clifford Wolf [Thu, 5 Jan 2017 12:18:58 +0000 (13:18 +0100)]
Fixed handling of local memories in functions

7 years agoAdded "check -initdrv"
Clifford Wolf [Wed, 4 Jan 2017 17:12:41 +0000 (18:12 +0100)]
Added "check -initdrv"

7 years agoAdded handling of local memories and error for local decls in unnamed blocks
Clifford Wolf [Wed, 4 Jan 2017 15:03:04 +0000 (16:03 +0100)]
Added handling of local memories and error for local decls in unnamed blocks

7 years agoImplicitly set "yosys-smtbmc --noprogress" on windows
Clifford Wolf [Wed, 4 Jan 2017 14:23:48 +0000 (15:23 +0100)]
Implicitly set "yosys-smtbmc --noprogress" on windows

7 years agoFixed typo in tests/simple/arraycells.v
Clifford Wolf [Wed, 4 Jan 2017 11:39:01 +0000 (12:39 +0100)]
Fixed typo in tests/simple/arraycells.v

7 years agoFixed "yosys-smtbmc --noprogress"
Clifford Wolf [Wed, 4 Jan 2017 11:03:04 +0000 (12:03 +0100)]
Fixed "yosys-smtbmc --noprogress"

7 years agoAdded Verilog $rtoi and $itor support
Clifford Wolf [Tue, 3 Jan 2017 16:40:58 +0000 (17:40 +0100)]
Added Verilog $rtoi and $itor support

7 years agoHandle "always 1" like "always -1" in .smtc files
Clifford Wolf [Mon, 2 Jan 2017 19:02:52 +0000 (20:02 +0100)]
Handle "always 1" like "always -1" in .smtc files

7 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Sun, 1 Jan 2017 22:08:16 +0000 (14:08 -0800)]
Merge https://github.com/cliffordwolf/yosys

7 years agoAdded cell port resizing to hierarchy pass
Clifford Wolf [Sun, 1 Jan 2017 21:52:52 +0000 (22:52 +0100)]
Added cell port resizing to hierarchy pass

7 years agogreenpak4: Added POUT to GP_COUNTx cells
Andrew Zonenberg [Sun, 1 Jan 2017 08:56:20 +0000 (00:56 -0800)]
greenpak4: Added POUT to GP_COUNTx cells

7 years agoUpdated ABC to hg id 55cd83f432c0
Clifford Wolf [Sat, 31 Dec 2016 20:52:27 +0000 (21:52 +0100)]
Updated ABC to hg id 55cd83f432c0

7 years agoBugfix in RTLIL::SigSpec::remove2()
Clifford Wolf [Sat, 31 Dec 2016 15:14:42 +0000 (16:14 +0100)]
Bugfix in RTLIL::SigSpec::remove2()

7 years agoUpdated ABC to hg id 8c6a635f7a20
Clifford Wolf [Thu, 29 Dec 2016 11:20:35 +0000 (12:20 +0100)]
Updated ABC to hg id 8c6a635f7a20

7 years agoImproved write_json help message
Clifford Wolf [Thu, 29 Dec 2016 11:13:29 +0000 (12:13 +0100)]
Improved write_json help message

7 years agoUpdated ABC to hg id f591c081d5e7
Clifford Wolf [Mon, 26 Dec 2016 16:52:38 +0000 (17:52 +0100)]
Updated ABC to hg id f591c081d5e7

7 years agoMerge pull request #284 from azonenberg/master
Clifford Wolf [Sat, 24 Dec 2016 13:28:39 +0000 (14:28 +0100)]
Merge pull request #284 from azonenberg/master

greenpak4: Support for many new cell types

7 years agoMerge pull request #1 from azonenberg-hk/master
Andrew Zonenberg [Fri, 23 Dec 2016 20:32:55 +0000 (12:32 -0800)]
Merge pull request #1 from azonenberg-hk/master

Pull changes from HK trip

7 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Fri, 23 Dec 2016 13:10:37 +0000 (05:10 -0800)]
Merge https://github.com/cliffordwolf/yosys

7 years agoSimplified log_spacer() code
Clifford Wolf [Fri, 23 Dec 2016 01:06:46 +0000 (02:06 +0100)]
Simplified log_spacer() code

7 years agoAdded "yosys -W regex"
Clifford Wolf [Thu, 22 Dec 2016 22:41:44 +0000 (23:41 +0100)]
Added "yosys -W regex"

7 years agoAdded AIGER back-end to automatic back-end detection
Clifford Wolf [Wed, 21 Dec 2016 09:16:47 +0000 (10:16 +0100)]
Added AIGER back-end to automatic back-end detection

7 years agoUpdated ABC to hg rev a4872e22c646
Clifford Wolf [Wed, 21 Dec 2016 09:16:10 +0000 (10:16 +0100)]
Updated ABC to hg rev a4872e22c646

7 years agoUpdated ABC to hg rev 8bab2eedbba4
Clifford Wolf [Wed, 21 Dec 2016 08:13:20 +0000 (09:13 +0100)]
Updated ABC to hg rev 8bab2eedbba4

7 years agogreenpak4: Added INT pin to GP_SPI
Andrew Zonenberg [Wed, 21 Dec 2016 03:35:29 +0000 (11:35 +0800)]
greenpak4: Added INT pin to GP_SPI

7 years agogreenpak4: removed unused MISO pin from GP_SPI
Andrew Zonenberg [Wed, 21 Dec 2016 03:33:32 +0000 (11:33 +0800)]
greenpak4: removed unused MISO pin from GP_SPI

7 years agogreenpak4: Removed SPI_BUFFER parameter
Andrew Zonenberg [Tue, 20 Dec 2016 05:07:49 +0000 (13:07 +0800)]
greenpak4: Removed SPI_BUFFER parameter

7 years agogreenpak4: replaced MOSI/MISO with single one-way SDAT pin
Andrew Zonenberg [Tue, 20 Dec 2016 04:34:56 +0000 (12:34 +0800)]
greenpak4: replaced MOSI/MISO with single one-way SDAT pin

7 years agogreenpak4: Changed port names on GP_SPI for clarity
Andrew Zonenberg [Tue, 20 Dec 2016 02:30:38 +0000 (10:30 +0800)]
greenpak4: Changed port names on GP_SPI for clarity

7 years agogreenpak4: Initial implementation of GP_SPI cell
Andrew Zonenberg [Tue, 20 Dec 2016 01:58:02 +0000 (09:58 +0800)]
greenpak4: Initial implementation of GP_SPI cell

7 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Sat, 17 Dec 2016 04:02:46 +0000 (12:02 +0800)]
Merge https://github.com/cliffordwolf/yosys

7 years agogreenpak4: Updated GP_DCMP cell model
Andrew Zonenberg [Sat, 17 Dec 2016 04:01:22 +0000 (12:01 +0800)]
greenpak4: Updated GP_DCMP cell model

7 years agogreenpak: Fixes to GP_DCMP* blocks. Added GP_CLKBUF.
Andrew Zonenberg [Fri, 16 Dec 2016 07:14:20 +0000 (15:14 +0800)]
greenpak: Fixes to GP_DCMP* blocks. Added GP_CLKBUF.

7 years agoAdded "verilog_defines" command
Clifford Wolf [Thu, 15 Dec 2016 16:49:11 +0000 (17:49 +0100)]
Added "verilog_defines" command

7 years agogreenpak4: Initial version of GP_DCMP skeleton (not yet usable). Changed interface...
Andrew Zonenberg [Thu, 15 Dec 2016 07:19:35 +0000 (15:19 +0800)]
greenpak4: Initial version of GP_DCMP skeleton (not yet usable). Changed interface to GP_DCMPMUX

7 years agogreenpak4: More fixups of GP_DCMPx cells
Andrew Zonenberg [Wed, 14 Dec 2016 23:19:08 +0000 (07:19 +0800)]
greenpak4: More fixups of GP_DCMPx cells

7 years agogreenpak4: And another typo :(
Andrew Zonenberg [Wed, 14 Dec 2016 23:17:07 +0000 (07:17 +0800)]
greenpak4: And another typo :(

7 years agogreenpak4: Fixed another typo
Andrew Zonenberg [Wed, 14 Dec 2016 23:16:26 +0000 (07:16 +0800)]
greenpak4: Fixed another typo

7 years agogreenpak4: Fixed typo
Andrew Zonenberg [Wed, 14 Dec 2016 23:15:38 +0000 (07:15 +0800)]
greenpak4: Fixed typo

7 years agogreenpak4: Cleaned up trailing spaces in cells_sim
Andrew Zonenberg [Wed, 14 Dec 2016 06:14:45 +0000 (14:14 +0800)]
greenpak4: Cleaned up trailing spaces in cells_sim

7 years agogreenpak4: Added GP_DCMPREF / GP_DCMPMUX
Andrew Zonenberg [Wed, 14 Dec 2016 06:14:26 +0000 (14:14 +0800)]
greenpak4: Added GP_DCMPREF / GP_DCMPMUX

7 years agoBugfix in comment handling
Clifford Wolf [Tue, 13 Dec 2016 12:48:09 +0000 (13:48 +0100)]
Bugfix in comment handling

7 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Mon, 12 Dec 2016 09:05:06 +0000 (17:05 +0800)]
Merge https://github.com/cliffordwolf/yosys

7 years agoAdded $anyconst support to AIGER back-end
Clifford Wolf [Sun, 11 Dec 2016 12:48:18 +0000 (13:48 +0100)]
Added $anyconst support to AIGER back-end

7 years agoMerge branch 'LSS-USP-unit-test-structure'
Clifford Wolf [Sun, 11 Dec 2016 10:03:25 +0000 (11:03 +0100)]
Merge branch 'LSS-USP-unit-test-structure'

7 years agoSome minor CodingReadme changes in unit test section
Clifford Wolf [Sun, 11 Dec 2016 10:02:56 +0000 (11:02 +0100)]
Some minor CodingReadme changes in unit test section

7 years agoBuild hotfix in tests/unit/Makefile
Clifford Wolf [Sun, 11 Dec 2016 09:58:49 +0000 (10:58 +0100)]
Build hotfix in tests/unit/Makefile

7 years agoAdded GP_PWRDET block, BANDWIDTH_KHZ parameter to GP_ABUF
Andrew Zonenberg [Sun, 11 Dec 2016 02:04:00 +0000 (10:04 +0800)]
Added GP_PWRDET block, BANDWIDTH_KHZ parameter to GP_ABUF

7 years agoImproved unit test structure
rodrigosiqueira [Sat, 10 Dec 2016 20:21:56 +0000 (18:21 -0200)]
Improved unit test structure

Signed-off-by: rodrigosiqueira <rodrigosiqueiramelo@gmail.com>
Signed-off-by: chaws <18oliveira.charles@gmail.com>
* Merged run-all-unitest inside unit-test target
* Fixed Makefile dependencies
* Updated documentation about unit test

7 years agogreenpak4: Added support for inferred input/output inverters on latches
Andrew Zonenberg [Sat, 10 Dec 2016 11:58:32 +0000 (19:58 +0800)]
greenpak4: Added support for inferred input/output inverters on latches

7 years agogreenpak4: Can now techmap inferred D latches (without set/reset or output inverter)
Andrew Zonenberg [Sat, 10 Dec 2016 10:46:36 +0000 (18:46 +0800)]
greenpak4: Can now techmap inferred D latches (without set/reset or output inverter)

7 years agogreenpak4: Inverted D latch cells now have nQ instead of Q as output port name for...
Andrew Zonenberg [Sat, 10 Dec 2016 05:57:37 +0000 (13:57 +0800)]
greenpak4: Inverted D latch cells now have nQ instead of Q as output port name for consistency

7 years agoAdded GP_DLATCH and GP_DLATCHI
Andrew Zonenberg [Tue, 6 Dec 2016 07:49:06 +0000 (23:49 -0800)]
Added GP_DLATCH and GP_DLATCHI

7 years agoInitial implementation of techlib support for GreenPAK latches. Instantiation only...
Andrew Zonenberg [Tue, 6 Dec 2016 05:22:41 +0000 (21:22 -0800)]
Initial implementation of techlib support for GreenPAK latches. Instantiation only, no behavioral inference yet.

7 years agoUpdated help text for synth_greenpak4
Andrew Zonenberg [Tue, 6 Dec 2016 04:10:03 +0000 (20:10 -0800)]
Updated help text for synth_greenpak4

7 years agoAdded explanation about configure and create test
rodrigosiqueira [Sun, 4 Dec 2016 13:35:13 +0000 (11:35 -0200)]
Added explanation about configure and create test

Added explanation about configure unit test environment and how to add new unit tests

7 years agoAdded required structure to implement unit tests
rodrigosiqueira [Sun, 4 Dec 2016 13:28:25 +0000 (11:28 -0200)]
Added required structure to implement unit tests

Added modifications inside the main Makefile to refers the unit test Makefile.
Added separated Makefile only for compiling unit tests.
Added simple example of unit test.

Signed-off-by: Charles Oliveira <18oliveira.charles@gmail.com>
Signed-off-by: Pablo Alejandro <pabloabur@usp.br>
Signed-off-by: Rodrigo Siqueira <siqueira@ime.usp.br>
7 years agoAdded $assert/$assume support to AIGER back-end
Clifford Wolf [Sat, 3 Dec 2016 12:20:29 +0000 (13:20 +0100)]
Added $assert/$assume support to AIGER back-end

7 years agoImproved yosys-smtbmc default -t/--assume-skipped for --cex and --aig
Clifford Wolf [Sat, 3 Dec 2016 11:37:20 +0000 (12:37 +0100)]
Improved yosys-smtbmc default -t/--assume-skipped for --cex and --aig

7 years agoUpdated ABV to hg rev 8b555d9e67cf
Clifford Wolf [Thu, 1 Dec 2016 16:45:40 +0000 (17:45 +0100)]
Updated ABV to hg rev 8b555d9e67cf

7 years agoAdded examples/aiger/
Clifford Wolf [Thu, 1 Dec 2016 12:42:17 +0000 (13:42 +0100)]
Added examples/aiger/

7 years agoAdded "yosys-smtbmc --aig"
Clifford Wolf [Thu, 1 Dec 2016 11:57:26 +0000 (12:57 +0100)]
Added "yosys-smtbmc --aig"

7 years agoAdded support for partially initialized regs to smt2 back-end
Clifford Wolf [Thu, 1 Dec 2016 11:00:00 +0000 (12:00 +0100)]
Added support for partially initialized regs to smt2 back-end

7 years agoAdded "write_aiger -zinit -symbols -vmap"
Clifford Wolf [Thu, 1 Dec 2016 10:04:36 +0000 (11:04 +0100)]
Added "write_aiger -zinit -symbols -vmap"

7 years agoAdded "write_aiger" command
Clifford Wolf [Wed, 30 Nov 2016 20:30:24 +0000 (21:30 +0100)]
Added "write_aiger" command

7 years agoAdded "design -reset-vlog"
Clifford Wolf [Wed, 30 Nov 2016 10:25:55 +0000 (11:25 +0100)]
Added "design -reset-vlog"

7 years agoImproved equiv_purge log output
Clifford Wolf [Tue, 29 Nov 2016 12:30:35 +0000 (13:30 +0100)]
Improved equiv_purge log output

7 years agoBugfix in smt2 back-end for pure checker modules
Clifford Wolf [Mon, 28 Nov 2016 14:15:09 +0000 (15:15 +0100)]
Bugfix in smt2 back-end for pure checker modules

7 years agoAdded support for macros as include file names
Clifford Wolf [Mon, 28 Nov 2016 13:50:17 +0000 (14:50 +0100)]
Added support for macros as include file names

7 years agoBugfix in "read_verilog -D NAME=VAL" handling
Clifford Wolf [Mon, 28 Nov 2016 13:45:05 +0000 (14:45 +0100)]
Bugfix in "read_verilog -D NAME=VAL" handling

7 years agoRemoved shebang line from smtio.py, fixes #279
Clifford Wolf [Sun, 27 Nov 2016 11:11:04 +0000 (12:11 +0100)]
Removed shebang line from smtio.py, fixes #279

7 years agoAdded wire start_offset and upto handling BLIF back-end
Clifford Wolf [Wed, 23 Nov 2016 12:49:25 +0000 (13:49 +0100)]
Added wire start_offset and upto handling BLIF back-end

7 years agoAdded wire start_offset and upto handling to splitnets cmd
Clifford Wolf [Wed, 23 Nov 2016 12:46:03 +0000 (13:46 +0100)]
Added wire start_offset and upto handling to splitnets cmd

7 years agoMerge pull request #274 from oldtopman/lcurses
Clifford Wolf [Tue, 22 Nov 2016 20:24:45 +0000 (21:24 +0100)]
Merge pull request #274 from oldtopman/lcurses

Added optional flag for linking curses with readline.

7 years agoAdded "yosys-smtbmc --append"
Clifford Wolf [Tue, 22 Nov 2016 20:21:13 +0000 (21:21 +0100)]
Added "yosys-smtbmc --append"

7 years agoAdded optional flag for linking curses with readline.
oldtopman [Tue, 22 Nov 2016 06:11:58 +0000 (23:11 -0700)]
Added optional flag for linking curses with readline.

7 years agoMerge pull request #272 from AlexDaniel/master
Clifford Wolf [Sat, 19 Nov 2016 22:25:58 +0000 (23:25 +0100)]
Merge pull request #272 from AlexDaniel/master

Markdownify README (№2)

7 years agoKeep lines under 80 characters
Aleks-Daniel Jakimenko-Aleksejev [Sat, 19 Nov 2016 18:51:50 +0000 (20:51 +0200)]
Keep lines under 80 characters

Recent README changes added some characters to existing lines, which
made them longer than 80 characters. This commit fixes that.

7 years agoImproved ABC default scripts
Clifford Wolf [Sat, 19 Nov 2016 17:20:54 +0000 (18:20 +0100)]
Improved ABC default scripts

7 years agoMarkdownify README even further
Aleks-Daniel Jakimenko-Aleksejev [Sat, 19 Nov 2016 16:34:13 +0000 (18:34 +0200)]
Markdownify README even further

7 years agoMerge pull request #271 from azidar/bugfix-assign-wmask
Clifford Wolf [Sat, 19 Nov 2016 16:36:07 +0000 (17:36 +0100)]
Merge pull request #271 from azidar/bugfix-assign-wmask

Bugfix: include assign to write-mask

7 years agoBugfix: include assign to write-mask
Adam Izraelevitz [Fri, 18 Nov 2016 19:49:26 +0000 (11:49 -0800)]
Bugfix: include assign to write-mask

7 years agoMore progress in FIRRTL back-end
Clifford Wolf [Fri, 18 Nov 2016 01:41:29 +0000 (02:41 +0100)]
More progress in FIRRTL back-end

7 years agoProgress in FIRRTL back-end
Clifford Wolf [Thu, 17 Nov 2016 23:32:35 +0000 (00:32 +0100)]
Progress in FIRRTL back-end

7 years agoAdded first draft of FIRRTL back-end
Clifford Wolf [Thu, 17 Nov 2016 22:36:47 +0000 (23:36 +0100)]
Added first draft of FIRRTL back-end

7 years agoCleanups and fixed in write_verilog regarding reg init
Clifford Wolf [Wed, 16 Nov 2016 11:00:39 +0000 (12:00 +0100)]
Cleanups and fixed in write_verilog regarding reg init

7 years agoAdded support for hierarchical defparams
Clifford Wolf [Tue, 15 Nov 2016 12:35:19 +0000 (13:35 +0100)]
Added support for hierarchical defparams

7 years agoRemember global declarations and defines accross read_verilog calls
Clifford Wolf [Tue, 15 Nov 2016 11:42:43 +0000 (12:42 +0100)]
Remember global declarations and defines accross read_verilog calls

7 years agoMerge pull request #268 from AlexDaniel/master
Clifford Wolf [Sun, 13 Nov 2016 20:47:51 +0000 (21:47 +0100)]
Merge pull request #268 from AlexDaniel/master

Markdownify README

7 years agoMarkdownify README
Aleks-Daniel Jakimenko-Aleksejev [Sat, 12 Nov 2016 21:33:28 +0000 (23:33 +0200)]
Markdownify README

This is the first commit in series. There are many other things that
could be improved, this is just the first renderable version.

7 years agoMinor bugfix in submod
Clifford Wolf [Wed, 9 Nov 2016 12:13:26 +0000 (13:13 +0100)]
Minor bugfix in submod

7 years agoProgress in examples/gowin/
Clifford Wolf [Tue, 8 Nov 2016 18:07:22 +0000 (19:07 +0100)]
Progress in examples/gowin/

7 years agoIndenting fixes in gowin sim cell lib
Clifford Wolf [Tue, 8 Nov 2016 17:54:00 +0000 (18:54 +0100)]
Indenting fixes in gowin sim cell lib

7 years agoBugfix in "setundef" pass
Clifford Wolf [Tue, 8 Nov 2016 17:53:36 +0000 (18:53 +0100)]
Bugfix in "setundef" pass