yosys.git
5 years agoFix macc test
SergeyDegtyar [Fri, 30 Aug 2019 12:22:46 +0000 (15:22 +0300)]
Fix macc test

5 years agodiv_mod test fix
SergeyDegtyar [Fri, 30 Aug 2019 11:17:03 +0000 (14:17 +0300)]
div_mod test fix

5 years agofix div_mod test
SergeyDegtyar [Fri, 30 Aug 2019 10:22:11 +0000 (13:22 +0300)]
fix div_mod test

5 years agoFix test for counter
SergeyDegtyar [Fri, 30 Aug 2019 09:38:28 +0000 (12:38 +0300)]
Fix test for counter

5 years agoMerge branch 'master' into master
Sergey [Fri, 30 Aug 2019 07:29:47 +0000 (10:29 +0300)]
Merge branch 'master' into master

5 years agoAdd new tests.
SergeyDegtyar [Fri, 30 Aug 2019 06:45:33 +0000 (09:45 +0300)]
Add new tests.

5 years agoRemove unnecessary common.v(assertions for testbenches).
SergeyDegtyar [Fri, 30 Aug 2019 06:17:32 +0000 (09:17 +0300)]
Remove unnecessary common.v(assertions for testbenches).

5 years agoRemove simulation from run-test.sh (unnecessary paths)
SergeyDegtyar [Fri, 30 Aug 2019 06:11:03 +0000 (09:11 +0300)]
Remove simulation from run-test.sh (unnecessary paths)

5 years agoRemove simulation from run-test.sh
SergeyDegtyar [Fri, 30 Aug 2019 05:53:35 +0000 (08:53 +0300)]
Remove simulation from run-test.sh

5 years agoMerge pull request #1337 from YosysHQ/eddie/fix_carry_wrapper
Eddie Hung [Fri, 30 Aug 2019 05:10:45 +0000 (22:10 -0700)]
Merge pull request #1337 from YosysHQ/eddie/fix_carry_wrapper

Fix $__ICE40_CARRY_WRAPPER, restore abc9 functionality

5 years agoMerge pull request #2 from YosysHQ/master
Sergey [Thu, 29 Aug 2019 18:09:40 +0000 (21:09 +0300)]
Merge pull request #2 from YosysHQ/master

Pull from upstream

5 years agoMerge pull request #3 from YosysHQ/Sergey/tests_ice40
Sergey [Thu, 29 Aug 2019 18:07:34 +0000 (21:07 +0300)]
Merge pull request #3 from YosysHQ/Sergey/tests_ice40

Merge my changes to tests_ice40 branch

5 years agoFix typo that's gone unnoticed for 5 months!?!
Eddie Hung [Thu, 29 Aug 2019 17:33:28 +0000 (10:33 -0700)]
Fix typo that's gone unnoticed for 5 months!?!

5 years agoRename boxes too
Eddie Hung [Thu, 29 Aug 2019 14:03:32 +0000 (07:03 -0700)]
Rename boxes too

5 years agoBump YOSYS_VER
Clifford Wolf [Thu, 29 Aug 2019 10:05:26 +0000 (12:05 +0200)]
Bump YOSYS_VER

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd comments for examples from Lattice user guide
SergeyDegtyar [Thu, 29 Aug 2019 07:49:46 +0000 (10:49 +0300)]
Add comments for examples from Lattice user guide

5 years agoAdd run-test.sh too
Eddie Hung [Thu, 29 Aug 2019 01:47:48 +0000 (18:47 -0700)]
Add run-test.sh too

5 years agoDo not overwrite LUT param
Eddie Hung [Thu, 29 Aug 2019 01:45:09 +0000 (18:45 -0700)]
Do not overwrite LUT param

5 years agoAdd SB_CARRY to ice40_opt test
Eddie Hung [Thu, 29 Aug 2019 01:44:57 +0000 (18:44 -0700)]
Add SB_CARRY to ice40_opt test

5 years agoAdd ice40_opt test
Eddie Hung [Thu, 29 Aug 2019 01:34:32 +0000 (18:34 -0700)]
Add ice40_opt test

5 years agoTrailing comma
Eddie Hung [Thu, 29 Aug 2019 00:25:54 +0000 (17:25 -0700)]
Trailing comma

5 years agoAdapt to $__ICE40_CARRY_WRAPPER
Eddie Hung [Thu, 29 Aug 2019 00:25:05 +0000 (17:25 -0700)]
Adapt to $__ICE40_CARRY_WRAPPER

5 years agoRevert "Remove $__ICE40_FULL_ADDER handling from ice40_opt; cannot reason with"
Eddie Hung [Thu, 29 Aug 2019 00:22:44 +0000 (17:22 -0700)]
Revert "Remove $__ICE40_FULL_ADDER handling from ice40_opt; cannot reason with"

This reverts commit 2aedee1f0e0f6a6214241f51f5c12d4b67c3ef6f.

5 years agoRemove $__ICE40_FULL_ADDER handling from ice40_opt; cannot reason with
Eddie Hung [Thu, 29 Aug 2019 00:07:36 +0000 (17:07 -0700)]
Remove $__ICE40_FULL_ADDER handling from ice40_opt; cannot reason with

CARRY_WRAPPER in the same way since I0 and I3 could be used

5 years agoUpdate box size and timings
Eddie Hung [Thu, 29 Aug 2019 00:07:24 +0000 (17:07 -0700)]
Update box size and timings

5 years agoUpdate to new $__ICE40_CARRY_WRAPPER
Eddie Hung [Thu, 29 Aug 2019 00:07:07 +0000 (17:07 -0700)]
Update to new $__ICE40_CARRY_WRAPPER

5 years agoComment out *.sh used for testbenches as we have no more
Eddie Hung [Wed, 28 Aug 2019 19:36:20 +0000 (12:36 -0700)]
Comment out *.sh used for testbenches as we have no more

5 years agoMerge pull request #1334 from YosysHQ/clifford/async2synclatch
Eddie Hung [Wed, 28 Aug 2019 19:36:06 +0000 (12:36 -0700)]
Merge pull request #1334 from YosysHQ/clifford/async2synclatch

Add $dlatch support to async2sync

5 years agoUse equiv for memory and dpram
Eddie Hung [Wed, 28 Aug 2019 19:30:35 +0000 (12:30 -0700)]
Use equiv for memory and dpram

5 years agoUse equiv_opt for latches
Eddie Hung [Wed, 28 Aug 2019 19:21:15 +0000 (12:21 -0700)]
Use equiv_opt for latches

5 years agoMerge remote-tracking branch 'origin/clifford/async2synclatch' into Sergey/tests_ice40
Eddie Hung [Wed, 28 Aug 2019 19:18:32 +0000 (12:18 -0700)]
Merge remote-tracking branch 'origin/clifford/async2synclatch' into Sergey/tests_ice40

5 years agoAdd (* clkbuf_sink *) to SRLC16E, reorder ports to match vendor
Eddie Hung [Wed, 28 Aug 2019 17:51:39 +0000 (10:51 -0700)]
Add (* clkbuf_sink *) to SRLC16E, reorder ports to match vendor

5 years agoMerge pull request #1332 from YosysHQ/dave/ecp5gsr
David Shah [Wed, 28 Aug 2019 11:44:02 +0000 (12:44 +0100)]
Merge pull request #1332 from YosysHQ/dave/ecp5gsr

ecp5: Add GSR and SGSR support

5 years agoMerge pull request #1335 from YosysHQ/clifford/paramap
Clifford Wolf [Wed, 28 Aug 2019 08:35:47 +0000 (10:35 +0200)]
Merge pull request #1335 from YosysHQ/clifford/paramap

Add "paramap" pass

5 years agoFix typo
Clifford Wolf [Wed, 28 Aug 2019 08:06:42 +0000 (10:06 +0200)]
Fix typo

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "paramap" pass
Clifford Wolf [Wed, 28 Aug 2019 08:03:27 +0000 (10:03 +0200)]
Add "paramap" pass

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd $dlatch support to async2sync
Clifford Wolf [Wed, 28 Aug 2019 07:45:22 +0000 (09:45 +0200)]
Add $dlatch support to async2sync

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRevert "Add tests for ecp5"
SergeyDegtyar [Wed, 28 Aug 2019 06:49:58 +0000 (09:49 +0300)]
Revert "Add tests for ecp5"

This reverts commit 2270ead09fb4695442c66fe5c06445235f390f2b.

5 years agoAdd tests for ecp5
SergeyDegtyar [Wed, 28 Aug 2019 06:47:03 +0000 (09:47 +0300)]
Add tests for ecp5

5 years agoMerge pull request #1325 from YosysHQ/eddie/sat_init
Clifford Wolf [Tue, 27 Aug 2019 22:18:14 +0000 (00:18 +0200)]
Merge pull request #1325 from YosysHQ/eddie/sat_init

In sat: 'x' in init attr should be ignored

5 years agoxilinx: Add SRLC16E primitive.
Marcin Kościelnicki [Tue, 27 Aug 2019 16:08:51 +0000 (18:08 +0200)]
xilinx: Add SRLC16E primitive.

Fixes #1331.

5 years agoMerge pull request #1292 from YosysHQ/mwk/xilinx_bufgmap
Eddie Hung [Tue, 27 Aug 2019 17:19:27 +0000 (10:19 -0700)]
Merge pull request #1292 from YosysHQ/mwk/xilinx_bufgmap

Add clock buffer insertion pass, improve iopadmap.

5 years agoIgnore all 1'bx in (* init *)
Eddie Hung [Tue, 27 Aug 2019 16:24:59 +0000 (09:24 -0700)]
Ignore all 1'bx in (* init *)

5 years agoRevert to using clean
Eddie Hung [Tue, 27 Aug 2019 16:24:32 +0000 (09:24 -0700)]
Revert to using clean

5 years agoRevert "Add tests for ecp5 architecture."
SergeyDegtyar [Tue, 27 Aug 2019 15:28:05 +0000 (18:28 +0300)]
Revert "Add tests for ecp5 architecture."

This reverts commit 134d3fea909bae02f4f814e3d649658502b44b73.

5 years agoimprove clkbuf_inhibit propagation upwards through hierarchy
Marcin Kościelnicki [Tue, 27 Aug 2019 15:26:47 +0000 (17:26 +0200)]
improve clkbuf_inhibit propagation upwards through hierarchy

5 years agoAdd tests for ecp5 architecture.
SergeyDegtyar [Tue, 27 Aug 2019 15:12:18 +0000 (18:12 +0300)]
Add tests for ecp5 architecture.

5 years agoecp5: Add GSR support
David Shah [Tue, 27 Aug 2019 12:07:06 +0000 (13:07 +0100)]
ecp5: Add GSR support

Signed-off-by: David Shah <dave@ds0.me>
5 years agoAdd tests for macc and rom;
SergeyDegtyar [Tue, 27 Aug 2019 10:56:26 +0000 (13:56 +0300)]
Add tests for macc and rom;

Test cases from
https://www.latticesemi.com/-/media/LatticeSemi/Documents/UserManuals/EI/iCEcube201701UserGuide.ashx?document_id=52071;
In both cases synthesized only LUTs and DFFs.

5 years agoAdd "make bumpversion"
Clifford Wolf [Tue, 27 Aug 2019 08:13:23 +0000 (10:13 +0200)]
Add "make bumpversion"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove tests to check that clkbuf is connected to expected
Eddie Hung [Mon, 26 Aug 2019 20:45:16 +0000 (13:45 -0700)]
Improve tests to check that clkbuf is connected to expected

5 years agoMerge branch 'master' into mwk/xilinx_bufgmap
Eddie Hung [Mon, 26 Aug 2019 20:25:17 +0000 (13:25 -0700)]
Merge branch 'master' into mwk/xilinx_bufgmap

5 years agoRemove dupe in CHANGELOG, missing end quote
Eddie Hung [Mon, 26 Aug 2019 17:44:23 +0000 (10:44 -0700)]
Remove dupe in CHANGELOG, missing end quote

5 years agoMerge tag 'yosys-0.9'
Clifford Wolf [Mon, 26 Aug 2019 09:11:47 +0000 (11:11 +0200)]
Merge tag 'yosys-0.9'

5 years agoYosys 0.9 yosys-0.9
Clifford Wolf [Mon, 26 Aug 2019 08:37:53 +0000 (10:37 +0200)]
Yosys 0.9

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1112 from acw1251/pyosys_sigsig_issue
Clifford Wolf [Sun, 25 Aug 2019 09:22:02 +0000 (11:22 +0200)]
Merge pull request #1112 from acw1251/pyosys_sigsig_issue

Fixed pyosys commands returning RTLIL::SigSig

5 years agoWire with init on FF part, 1'bx on non-FF part
Eddie Hung [Sat, 24 Aug 2019 22:05:44 +0000 (15:05 -0700)]
Wire with init on FF part, 1'bx on non-FF part

5 years agoMerge pull request #1327 from YosysHQ/clifford/pmgen
Clifford Wolf [Sat, 24 Aug 2019 06:38:49 +0000 (08:38 +0200)]
Merge pull request #1327 from YosysHQ/clifford/pmgen

Add pmgen slices and choices

5 years agoAdd undocumented feature
Eddie Hung [Fri, 23 Aug 2019 23:41:32 +0000 (16:41 -0700)]
Add undocumented feature

5 years agoindo -> into
Eddie Hung [Fri, 23 Aug 2019 20:15:41 +0000 (13:15 -0700)]
indo -> into

5 years agoRevert earliest to gcc-4.8, compile iverilog with default compiler
Eddie Hung [Wed, 14 Aug 2019 19:28:17 +0000 (12:28 -0700)]
Revert earliest to gcc-4.8, compile iverilog with default compiler

5 years agoRevert "Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!"
Eddie Hung [Wed, 14 Aug 2019 19:26:45 +0000 (12:26 -0700)]
Revert "Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!"

This reverts commit c82b2fa31f8965be2680c87af6cd9ac5d26ead4d.

5 years agoRemove .0 from clang-8.0
Eddie Hung [Wed, 14 Aug 2019 19:23:15 +0000 (12:23 -0700)]
Remove .0 from clang-8.0

5 years agoBump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!
Eddie Hung [Wed, 14 Aug 2019 19:16:02 +0000 (12:16 -0700)]
Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!

5 years agobionic -> xenial as its on whitelist
Eddie Hung [Wed, 14 Aug 2019 18:52:08 +0000 (11:52 -0700)]
bionic -> xenial as its on whitelist

5 years agoBump gcc from 4.8 to 4.9 as undefined reference
Eddie Hung [Wed, 14 Aug 2019 18:26:32 +0000 (11:26 -0700)]
Bump gcc from 4.8 to 4.9 as undefined reference

... to `__warn_memset_zero_len'.
Also remove gcc-6, bump gcc-7 to gcc-9, clang from 5.0 to 8.0

5 years agoMerge branch 'master' into mwk/xilinx_bufgmap
Eddie Hung [Fri, 23 Aug 2019 18:24:19 +0000 (11:24 -0700)]
Merge branch 'master' into mwk/xilinx_bufgmap

5 years agoForgot one
Eddie Hung [Fri, 23 Aug 2019 18:23:50 +0000 (11:23 -0700)]
Forgot one

5 years agoMerge branch 'master' into mwk/xilinx_bufgmap
Eddie Hung [Fri, 23 Aug 2019 18:23:31 +0000 (11:23 -0700)]
Merge branch 'master' into mwk/xilinx_bufgmap

5 years agoPut abc_* attributes above port
Eddie Hung [Fri, 23 Aug 2019 18:21:44 +0000 (11:21 -0700)]
Put abc_* attributes above port

5 years agoCheck clkbuf_inhibit=1 is ignored for custom selection
Eddie Hung [Fri, 23 Aug 2019 18:15:26 +0000 (11:15 -0700)]
Check clkbuf_inhibit=1 is ignored for custom selection

5 years agoclkbufmap to only check clkbuf_inhibit if no selection given
Eddie Hung [Fri, 23 Aug 2019 18:14:42 +0000 (11:14 -0700)]
clkbufmap to only check clkbuf_inhibit if no selection given

5 years agoAdd simple clkbufmap tests
Eddie Hung [Fri, 23 Aug 2019 18:10:02 +0000 (11:10 -0700)]
Add simple clkbufmap tests

5 years agotests/techmap/run-test.sh to cope with *.ys
Eddie Hung [Fri, 23 Aug 2019 18:09:50 +0000 (11:09 -0700)]
tests/techmap/run-test.sh to cope with *.ys

5 years agoMention clkbuf_inhibit can be overridden
Eddie Hung [Fri, 23 Aug 2019 17:24:59 +0000 (10:24 -0700)]
Mention clkbuf_inhibit can be overridden

5 years agoReview comment from @cliffordwolf
Eddie Hung [Fri, 23 Aug 2019 17:03:41 +0000 (10:03 -0700)]
Review comment from @cliffordwolf

5 years agoMerge remote-tracking branch 'origin/master' into mwk/xilinx_bufgmap
Eddie Hung [Fri, 23 Aug 2019 17:00:50 +0000 (10:00 -0700)]
Merge remote-tracking branch 'origin/master' into mwk/xilinx_bufgmap

5 years agoMake macOS depenency clear
Miodrag Milanovic [Fri, 23 Aug 2019 08:37:50 +0000 (10:37 +0200)]
Make macOS depenency clear

5 years agoMerge pull request #1326 from mmicko/doc-update
Eddie Hung [Fri, 23 Aug 2019 16:12:58 +0000 (09:12 -0700)]
Merge pull request #1326 from mmicko/doc-update

Make macOS dependency clear

5 years agoBlocking assignment
Eddie Hung [Fri, 23 Aug 2019 16:11:04 +0000 (09:11 -0700)]
Blocking assignment

5 years agoFix pull request
SergeyDegtyar [Fri, 23 Aug 2019 15:55:01 +0000 (18:55 +0300)]
Fix pull request

5 years agoFix port hanlding in pmgen
Clifford Wolf [Fri, 23 Aug 2019 14:26:54 +0000 (16:26 +0200)]
Fix port hanlding in pmgen

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd pmgen slices and choices
Clifford Wolf [Fri, 23 Aug 2019 14:15:50 +0000 (16:15 +0200)]
Add pmgen slices and choices

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix run-test.sh; Add new test for dpram.
SergeyDegtyar [Fri, 23 Aug 2019 14:00:16 +0000 (17:00 +0300)]
Fix run-test.sh; Add new test for dpram.

5 years agoFix path in run-test.sh
SergeyDegtyar [Fri, 23 Aug 2019 09:40:14 +0000 (12:40 +0300)]
Fix path in run-test.sh

5 years agoMake macOS depenency clear
Miodrag Milanovic [Fri, 23 Aug 2019 08:37:50 +0000 (10:37 +0200)]
Make macOS depenency clear

5 years agoMerge pull request #1 from YosysHQ/Sergey/tests_ice40
Sergey [Fri, 23 Aug 2019 03:50:19 +0000 (06:50 +0300)]
Merge pull request #1 from YosysHQ/Sergey/tests_ice40

tests_ice40 improvements

5 years agoDo not propagate mem2reg attribute through to result
Eddie Hung [Thu, 22 Aug 2019 23:57:59 +0000 (16:57 -0700)]
Do not propagate mem2reg attribute through to result

5 years agoRemove adffs_tb.v
Eddie Hung [Thu, 22 Aug 2019 23:50:14 +0000 (16:50 -0700)]
Remove adffs_tb.v

5 years agoIn sat: 'x' in init attr should not override constant
Eddie Hung [Thu, 22 Aug 2019 23:42:19 +0000 (16:42 -0700)]
In sat: 'x' in init attr should not override constant

5 years agoSpelling
Eddie Hung [Thu, 22 Aug 2019 21:20:03 +0000 (14:20 -0700)]
Spelling

5 years agoWIP for equivalency checking memories
Eddie Hung [Thu, 22 Aug 2019 22:50:45 +0000 (15:50 -0700)]
WIP for equivalency checking memories

5 years agoDo not print OKAY
Eddie Hung [Thu, 22 Aug 2019 22:50:38 +0000 (15:50 -0700)]
Do not print OKAY

5 years agoSpelling
Eddie Hung [Thu, 22 Aug 2019 21:20:03 +0000 (14:20 -0700)]
Spelling

5 years agoFail if iverilog fails
Eddie Hung [Thu, 22 Aug 2019 21:03:02 +0000 (14:03 -0700)]
Fail if iverilog fails

5 years agoHide tri-state warning message for now
Eddie Hung [Thu, 22 Aug 2019 20:57:19 +0000 (13:57 -0700)]
Hide tri-state warning message for now

5 years agoRemove unused output
Eddie Hung [Thu, 22 Aug 2019 20:57:11 +0000 (13:57 -0700)]
Remove unused output

5 years agoFix tribuf test
Eddie Hung [Thu, 22 Aug 2019 19:36:27 +0000 (12:36 -0700)]
Fix tribuf test

5 years agoFix comments
Eddie Hung [Thu, 22 Aug 2019 19:35:35 +0000 (12:35 -0700)]
Fix comments

5 years agoRemove tech independent synthesis
Eddie Hung [Thu, 22 Aug 2019 19:30:49 +0000 (12:30 -0700)]
Remove tech independent synthesis