yosys.git
8 years agoFixed "splitnets -ports" for hierarchical designs
Clifford Wolf [Tue, 22 Dec 2015 12:25:00 +0000 (13:25 +0100)]
Fixed "splitnets -ports" for hierarchical designs

8 years agoRe-run ice40_opt in "synth_ice40 -abc2"
Clifford Wolf [Tue, 22 Dec 2015 11:19:11 +0000 (12:19 +0100)]
Re-run ice40_opt in "synth_ice40 -abc2"

8 years agoImprovements in ice40_opt
Clifford Wolf [Tue, 22 Dec 2015 11:18:38 +0000 (12:18 +0100)]
Improvements in ice40_opt

8 years agoBugfix in ice40_ffinit
Clifford Wolf [Tue, 22 Dec 2015 11:18:06 +0000 (12:18 +0100)]
Bugfix in ice40_ffinit

8 years agoImproved ice40_ffinit
Clifford Wolf [Tue, 22 Dec 2015 10:15:25 +0000 (11:15 +0100)]
Improved ice40_ffinit

8 years agoRun opt_const before check in default scripts
Clifford Wolf [Tue, 22 Dec 2015 10:15:05 +0000 (11:15 +0100)]
Run opt_const before check in default scripts

8 years agoAdded %R select expression
Clifford Wolf [Sun, 20 Dec 2015 12:35:58 +0000 (13:35 +0100)]
Added %R select expression

8 years agoVarious improvements in BLIF front-end
Clifford Wolf [Sun, 20 Dec 2015 12:12:24 +0000 (13:12 +0100)]
Various improvements in BLIF front-end

8 years agoAdded yosys-smtbmc -S
Clifford Wolf [Sun, 20 Dec 2015 08:58:54 +0000 (09:58 +0100)]
Added yosys-smtbmc -S

8 years agoMerge pull request #110 from scanlime/master
Clifford Wolf [Tue, 15 Dec 2015 18:54:07 +0000 (19:54 +0100)]
Merge pull request #110 from scanlime/master

Trivial changes to fix Mac OS build

8 years agoMac build fix, gsed -> sed
Micah Elizabeth Scott [Tue, 15 Dec 2015 18:22:35 +0000 (10:22 -0800)]
Mac build fix, gsed -> sed

Homebrew is calling its GNU sed just 'sed' now.

8 years agoRemove nonportable "-r" option from xargs
Micah Elizabeth Scott [Tue, 15 Dec 2015 18:13:06 +0000 (10:13 -0800)]
Remove nonportable "-r" option from xargs

On Linux, this avoids an empty "rm -f" call when there's nothing to clean. But it isn't portable, and it causes the build to fail on Mac OS. It doesn't seem to be harmful to remove this option entirely, and it's a step toward fixing the Mac build.

8 years agoAdded "synth_ice40 -abc2"
Clifford Wolf [Tue, 8 Dec 2015 10:16:26 +0000 (11:16 +0100)]
Added "synth_ice40 -abc2"

8 years agoMerge pull request #108 from cseed/master
Clifford Wolf [Mon, 7 Dec 2015 02:32:20 +0000 (03:32 +0100)]
Merge pull request #108 from cseed/master

Added LO to ICESTORM_LC for LUT cascade route.

8 years agoAdded LO to ICESTORM_LC for LUT cascade route.
Cotton Seed [Sun, 6 Dec 2015 22:24:48 +0000 (17:24 -0500)]
Added LO to ICESTORM_LC for LUT cascade route.

8 years agoImproved proc_mux performance for huge always blocks
Clifford Wolf [Wed, 2 Dec 2015 21:02:20 +0000 (22:02 +0100)]
Improved proc_mux performance for huge always blocks

8 years agoAdded default values for hashlib at() methods
Clifford Wolf [Wed, 2 Dec 2015 19:41:57 +0000 (20:41 +0100)]
Added default values for hashlib at() methods

8 years agoRe-added SigMap::allbits()
Clifford Wolf [Mon, 30 Nov 2015 18:43:52 +0000 (19:43 +0100)]
Re-added SigMap::allbits()

8 years agoAdded tests/simple/graphtest.v
Clifford Wolf [Mon, 30 Nov 2015 10:41:12 +0000 (11:41 +0100)]
Added tests/simple/graphtest.v

8 years agoFixed oom bug in ilang parser
Clifford Wolf [Sun, 29 Nov 2015 19:30:32 +0000 (20:30 +0100)]
Fixed oom bug in ilang parser

8 years agoFixed performance bug in ilang parser
Clifford Wolf [Fri, 27 Nov 2015 18:46:47 +0000 (19:46 +0100)]
Fixed performance bug in ilang parser

8 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Thu, 26 Nov 2015 17:24:23 +0000 (18:24 +0100)]
Merge branch 'master' of github.com:cliffordwolf/yosys

8 years agoRemoved dangling ';' in rtlil.h
Clifford Wolf [Thu, 26 Nov 2015 17:11:34 +0000 (18:11 +0100)]
Removed dangling ';' in rtlil.h

8 years agoAdded ice40_ffinit pass
Clifford Wolf [Thu, 26 Nov 2015 17:11:06 +0000 (18:11 +0100)]
Added ice40_ffinit pass

8 years agoAdded PRIM_DLATCHRS support to verific front-end
Clifford Wolf [Tue, 24 Nov 2015 11:16:19 +0000 (12:16 +0100)]
Added PRIM_DLATCHRS support to verific front-end

8 years agoFixed WE/RE usage in iCE40 BRAM mapping
Clifford Wolf [Tue, 24 Nov 2015 09:51:34 +0000 (10:51 +0100)]
Fixed WE/RE usage in iCE40 BRAM mapping

8 years agoFixed handling of re-declarations of wires in tasks and functions
Clifford Wolf [Mon, 23 Nov 2015 16:09:57 +0000 (17:09 +0100)]
Fixed handling of re-declarations of wires in tasks and functions

8 years agoAdded torder command
Clifford Wolf [Thu, 19 Nov 2015 14:34:32 +0000 (15:34 +0100)]
Added torder command

8 years agoFixed performance bug in Verific importer
Clifford Wolf [Mon, 16 Nov 2015 11:38:56 +0000 (12:38 +0100)]
Fixed performance bug in Verific importer

8 years agoChanges for Verific 3.16_484_32_151112
Clifford Wolf [Thu, 12 Nov 2015 18:28:14 +0000 (19:28 +0100)]
Changes for Verific 3.16_484_32_151112

8 years agoLink to vlsitechnology.org for liberty files
Clifford Wolf [Thu, 12 Nov 2015 12:15:19 +0000 (13:15 +0100)]
Link to vlsitechnology.org for liberty files

8 years agoMore bugfixes in handling of parameters in tasks and functions
Clifford Wolf [Thu, 12 Nov 2015 12:02:36 +0000 (13:02 +0100)]
More bugfixes in handling of parameters in tasks and functions

8 years agoFixed handling of parameters and localparams in functions
Clifford Wolf [Wed, 11 Nov 2015 09:54:35 +0000 (10:54 +0100)]
Fixed handling of parameters and localparams in functions

8 years agoAdded "abc -g"
Clifford Wolf [Tue, 10 Nov 2015 10:10:11 +0000 (11:10 +0100)]
Added "abc -g"

8 years agoMerge pull request #97 from zeldin/master
Clifford Wolf [Sun, 8 Nov 2015 21:16:49 +0000 (22:16 +0100)]
Merge pull request #97 from zeldin/master

Fix a segfault in dffinit when the value has too few bits

8 years agoFix a segfault in dffinit when the value has too few bits
Marcus Comstedt [Sun, 8 Nov 2015 18:16:56 +0000 (19:16 +0100)]
Fix a segfault in dffinit when the value has too few bits

The code was already trying to add the required number of bits, but
fell one short of the mark.

8 years agoAdded "singleton" pass
Clifford Wolf [Sat, 7 Nov 2015 18:10:43 +0000 (19:10 +0100)]
Added "singleton" pass

8 years agoFixed iCE40 SB_IO OUTPUT_ENABLE vs. outena_q handling
Clifford Wolf [Fri, 6 Nov 2015 16:02:16 +0000 (17:02 +0100)]
Fixed iCE40 SB_IO OUTPUT_ENABLE vs. outena_q handling

8 years agoBugfix in mapping $tribuf to $_TBUF_
Clifford Wolf [Thu, 5 Nov 2015 11:37:43 +0000 (12:37 +0100)]
Bugfix in mapping $tribuf to $_TBUF_

8 years agoBugfix in memory_dff
Clifford Wolf [Sat, 31 Oct 2015 21:01:41 +0000 (22:01 +0100)]
Bugfix in memory_dff

8 years agoImprovements in wreduce
Clifford Wolf [Sat, 31 Oct 2015 12:39:30 +0000 (13:39 +0100)]
Improvements in wreduce

8 years agoBugfix in Xilinx LUT mapping
Clifford Wolf [Fri, 30 Oct 2015 12:58:03 +0000 (13:58 +0100)]
Bugfix in Xilinx LUT mapping

8 years agoImproved SigMap performance
Clifford Wolf [Wed, 28 Oct 2015 10:21:55 +0000 (11:21 +0100)]
Improved SigMap performance

8 years agoImprovements in new SigMap
Clifford Wolf [Tue, 27 Oct 2015 23:39:53 +0000 (00:39 +0100)]
Improvements in new SigMap

8 years agoUse mfp<> in equiv_mark
Clifford Wolf [Tue, 27 Oct 2015 18:15:35 +0000 (19:15 +0100)]
Use mfp<> in equiv_mark

8 years agoRemoved old SigMap implementation
Clifford Wolf [Tue, 27 Oct 2015 14:09:44 +0000 (15:09 +0100)]
Removed old SigMap implementation

8 years agoAdded hashlib::mfp and new SigMap
Clifford Wolf [Tue, 27 Oct 2015 14:04:47 +0000 (15:04 +0100)]
Added hashlib::mfp and new SigMap

8 years agoImprovements in equiv_struct
Clifford Wolf [Sun, 25 Oct 2015 21:04:20 +0000 (22:04 +0100)]
Improvements in equiv_struct

8 years agoMajor refactoring of equiv_struct
Clifford Wolf [Sun, 25 Oct 2015 18:31:29 +0000 (19:31 +0100)]
Major refactoring of equiv_struct

8 years agoImport more std:: stuff into Yosys namespace
Clifford Wolf [Sun, 25 Oct 2015 18:30:49 +0000 (19:30 +0100)]
Import more std:: stuff into Yosys namespace

8 years agoAdded "equiv_add -cell"
Clifford Wolf [Sun, 25 Oct 2015 13:35:40 +0000 (14:35 +0100)]
Added "equiv_add -cell"

8 years agoequiv_struct now creates equiv_merged attributes
Clifford Wolf [Sun, 25 Oct 2015 00:15:20 +0000 (02:15 +0200)]
equiv_struct now creates equiv_merged attributes

8 years agoImprovements in equiv_struct
Clifford Wolf [Sat, 24 Oct 2015 21:04:17 +0000 (23:04 +0200)]
Improvements in equiv_struct

8 years agorenamed SigSpec::to_single_sigbit() to SigSpec::as_bit(), added is_bit()
Clifford Wolf [Sat, 24 Oct 2015 20:56:40 +0000 (22:56 +0200)]
renamed SigSpec::to_single_sigbit() to SigSpec::as_bit(), added is_bit()

8 years agoimprovement in "stat"
Clifford Wolf [Sat, 24 Oct 2015 19:56:53 +0000 (21:56 +0200)]
improvement in "stat"

8 years agoFixed driver conflict handling (various cmds)
Clifford Wolf [Sat, 24 Oct 2015 17:23:30 +0000 (19:23 +0200)]
Fixed driver conflict handling (various cmds)

8 years agoequiv_purge bugfix, using SigChunk in Yosys namespace
Clifford Wolf [Sat, 24 Oct 2015 17:09:45 +0000 (19:09 +0200)]
equiv_purge bugfix, using SigChunk in Yosys namespace

8 years agoFixed handling of driver-driver conflicts in wreduce
Clifford Wolf [Sat, 24 Oct 2015 11:44:35 +0000 (13:44 +0200)]
Fixed handling of driver-driver conflicts in wreduce

8 years agoAdded equiv_mark command
Clifford Wolf [Fri, 23 Oct 2015 21:56:58 +0000 (23:56 +0200)]
Added equiv_mark command

8 years agoDisabled "Skipping blackbox module" msg in show command
Clifford Wolf [Fri, 23 Oct 2015 18:11:05 +0000 (20:11 +0200)]
Disabled "Skipping blackbox module" msg in show command

8 years agoAdded support for ":" as comment symbol after ;-parsing
Clifford Wolf [Fri, 23 Oct 2015 18:08:33 +0000 (20:08 +0200)]
Added support for ":" as comment symbol after ;-parsing

8 years agoAlso merge $equiv cells in equiv_struct
Clifford Wolf [Fri, 23 Oct 2015 13:26:58 +0000 (15:26 +0200)]
Also merge $equiv cells in equiv_struct

8 years agoImprovements in equiv_struct
Clifford Wolf [Fri, 23 Oct 2015 13:11:57 +0000 (15:11 +0200)]
Improvements in equiv_struct

8 years agoAdded equiv_purge
Clifford Wolf [Thu, 22 Oct 2015 13:40:27 +0000 (15:40 +0200)]
Added equiv_purge

8 years agoAdded equiv_struct command
Clifford Wolf [Wed, 21 Oct 2015 15:12:35 +0000 (17:12 +0200)]
Added equiv_struct command

8 years agoImproved inout handling in equiv_make
Clifford Wolf [Wed, 21 Oct 2015 13:42:50 +0000 (15:42 +0200)]
Improved inout handling in equiv_make

8 years agoProgress on cell help messages
Clifford Wolf [Tue, 20 Oct 2015 14:49:11 +0000 (16:49 +0200)]
Progress on cell help messages

8 years agoProgress on cell help messages
Clifford Wolf [Sat, 17 Oct 2015 00:22:42 +0000 (02:22 +0200)]
Progress on cell help messages

8 years agoProgress in yosys-smtbmc
Clifford Wolf [Thu, 15 Oct 2015 13:54:59 +0000 (15:54 +0200)]
Progress in yosys-smtbmc

8 years agoFixed bug in verilog parser
Clifford Wolf [Thu, 15 Oct 2015 13:19:23 +0000 (15:19 +0200)]
Fixed bug in verilog parser

8 years agoImprovements in yosys-smtbmc
Clifford Wolf [Thu, 15 Oct 2015 13:08:41 +0000 (15:08 +0200)]
Improvements in yosys-smtbmc

8 years agoBugfixes in handling of "keep" attribute on wires
Clifford Wolf [Thu, 15 Oct 2015 12:57:28 +0000 (14:57 +0200)]
Bugfixes in handling of "keep" attribute on wires

8 years agoMore "yosys-smtbmc -c" fixes
Clifford Wolf [Wed, 14 Oct 2015 21:23:25 +0000 (23:23 +0200)]
More "yosys-smtbmc -c" fixes

8 years agoFixed yosys-smtbmc -c
Clifford Wolf [Wed, 14 Oct 2015 21:00:46 +0000 (23:00 +0200)]
Fixed yosys-smtbmc -c

8 years agoAdded "prep" command
Clifford Wolf [Wed, 14 Oct 2015 20:46:41 +0000 (22:46 +0200)]
Added "prep" command

8 years agoAdded more cell descriptions
Clifford Wolf [Wed, 14 Oct 2015 18:29:47 +0000 (20:29 +0200)]
Added more cell descriptions

8 years agoAdded first help messages for cell types
Clifford Wolf [Wed, 14 Oct 2015 14:27:42 +0000 (16:27 +0200)]
Added first help messages for cell types

8 years agoAdded yosys-smtbmc copyright
Clifford Wolf [Tue, 13 Oct 2015 23:31:54 +0000 (01:31 +0200)]
Added yosys-smtbmc copyright

8 years agoImprovements in yosys-smtbmc
Clifford Wolf [Tue, 13 Oct 2015 23:27:55 +0000 (01:27 +0200)]
Improvements in yosys-smtbmc

8 years agoAdded yosys-smtbmc
Clifford Wolf [Tue, 13 Oct 2015 22:37:41 +0000 (00:37 +0200)]
Added yosys-smtbmc

8 years agoImplemented smtbmc.py -i
Clifford Wolf [Tue, 13 Oct 2015 22:18:38 +0000 (00:18 +0200)]
Implemented smtbmc.py -i

8 years agoAdded smtbmc.py
Clifford Wolf [Tue, 13 Oct 2015 15:17:23 +0000 (17:17 +0200)]
Added smtbmc.py

8 years agoAdded write_smt2 -wires
Clifford Wolf [Tue, 13 Oct 2015 15:17:12 +0000 (17:17 +0200)]
Added write_smt2 -wires

8 years agoAdded examples/ top-level directory
Clifford Wolf [Tue, 13 Oct 2015 13:40:21 +0000 (15:40 +0200)]
Added examples/ top-level directory

8 years agoSystemVerilog also has assume(), added implicit -D FORMAL
Clifford Wolf [Tue, 13 Oct 2015 12:21:20 +0000 (14:21 +0200)]
SystemVerilog also has assume(), added implicit -D FORMAL

8 years agoMerge branch 'master' of https://github.com/rubund/yosys
Clifford Wolf [Tue, 13 Oct 2015 09:01:19 +0000 (11:01 +0200)]
Merge branch 'master' of https://github.com/rubund/yosys

8 years agoFixed "flatten" for unconnected inout ports
Clifford Wolf [Tue, 13 Oct 2015 08:30:23 +0000 (10:30 +0200)]
Fixed "flatten" for unconnected inout ports

8 years agoUse DESTDIR as defined in https://www.gnu.org/prep/standards/html_node/DESTDIR.html
Ruben Undheim [Sat, 10 Oct 2015 22:56:20 +0000 (00:56 +0200)]
Use DESTDIR as defined in https://gnu.org/prep/standards/html_node/DESTDIR.html

This is needed for painless packaging of yosys

8 years agoUse LDFLAGS, CXXFLAGS and CPPFLAGS from the environment when building
Ruben Undheim [Sat, 10 Oct 2015 22:47:37 +0000 (00:47 +0200)]
Use LDFLAGS, CXXFLAGS and CPPFLAGS from the environment when building

8 years agoAdded support for "parameter" and "localparam" in global context
Clifford Wolf [Wed, 7 Oct 2015 12:59:08 +0000 (14:59 +0200)]
Added support for "parameter" and "localparam" in global context

8 years agoFixed complexity of assigning to vectors in constant functions
Clifford Wolf [Thu, 1 Oct 2015 10:15:35 +0000 (12:15 +0200)]
Fixed complexity of assigning to vectors in constant functions

8 years agoFixed detection of unconditional $readmem[hb]
Clifford Wolf [Wed, 30 Sep 2015 13:46:51 +0000 (15:46 +0200)]
Fixed detection of unconditional $readmem[hb]

8 years agoAdded edgetypes command
Clifford Wolf [Sun, 27 Sep 2015 09:53:20 +0000 (11:53 +0200)]
Added edgetypes command

8 years agoSome cleanups in qwp
Clifford Wolf [Sat, 26 Sep 2015 08:42:27 +0000 (10:42 +0200)]
Some cleanups in qwp

8 years agoAdded "test_cell -noeval"
Clifford Wolf [Fri, 25 Sep 2015 15:27:18 +0000 (17:27 +0200)]
Added "test_cell -noeval"

8 years agoAdded wreduce $mul support and fixed signed $mul opt_const bug
Clifford Wolf [Fri, 25 Sep 2015 15:27:06 +0000 (17:27 +0200)]
Added wreduce $mul support and fixed signed $mul opt_const bug

8 years agoBugfix in bram read-enable code
Clifford Wolf [Fri, 25 Sep 2015 12:22:33 +0000 (14:22 +0200)]
Bugfix in bram read-enable code

8 years agoBugfixes in $readmem[hb]
Clifford Wolf [Fri, 25 Sep 2015 11:49:48 +0000 (13:49 +0200)]
Bugfixes in $readmem[hb]

8 years agoBugfixes in writing of memories as Verilog
Clifford Wolf [Fri, 25 Sep 2015 11:49:26 +0000 (13:49 +0200)]
Bugfixes in writing of memories as Verilog

8 years agoFixed segfault in AstNode::asReal
Clifford Wolf [Fri, 25 Sep 2015 10:38:01 +0000 (12:38 +0200)]
Fixed segfault in AstNode::asReal