yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 19 Aug 2019 22:19:32 +0000 (15:19 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoAdd arrival times for SRL outputs
Eddie Hung [Mon, 19 Aug 2019 22:15:43 +0000 (15:15 -0700)]
Add arrival times for SRL outputs

5 years agoOutput i/o/h extensions even if no boxes or flops
Eddie Hung [Mon, 19 Aug 2019 20:17:31 +0000 (13:17 -0700)]
Output i/o/h extensions even if no boxes or flops

5 years agoAdd BRAM arrival times
Eddie Hung [Mon, 19 Aug 2019 19:46:35 +0000 (12:46 -0700)]
Add BRAM arrival times

5 years agoRemove debug
Eddie Hung [Mon, 19 Aug 2019 19:44:43 +0000 (12:44 -0700)]
Remove debug

5 years agoAdd reference to source of Tclktoq timing
Eddie Hung [Mon, 19 Aug 2019 19:39:22 +0000 (12:39 -0700)]
Add reference to source of Tclktoq timing

5 years agoAdd (* abc_arrival *) attribute
Eddie Hung [Mon, 19 Aug 2019 19:33:24 +0000 (12:33 -0700)]
Add (* abc_arrival *) attribute

5 years ago Add 'abc_arrival' attribute for flop outputs
Eddie Hung [Mon, 19 Aug 2019 18:32:18 +0000 (11:32 -0700)]
 Add 'abc_arrival' attribute for flop outputs

5 years agoUpdate box timings
Eddie Hung [Mon, 19 Aug 2019 18:31:40 +0000 (11:31 -0700)]
Update box timings

5 years agoMove from cell attr to module attr
Eddie Hung [Mon, 19 Aug 2019 18:18:33 +0000 (11:18 -0700)]
Move from cell attr to module attr

5 years agoFix typo
Eddie Hung [Mon, 19 Aug 2019 17:42:00 +0000 (10:42 -0700)]
Fix typo

5 years agoFix typo
Eddie Hung [Mon, 19 Aug 2019 17:41:18 +0000 (10:41 -0700)]
Fix typo

5 years agoID({A,B,Y}) -> ID::{A,B,Y} for opt_share.cc
Eddie Hung [Mon, 19 Aug 2019 17:11:47 +0000 (10:11 -0700)]
ID({A,B,Y}) -> ID::{A,B,Y} for opt_share.cc

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 19 Aug 2019 17:07:27 +0000 (10:07 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoUse attributes instead of params
Eddie Hung [Mon, 19 Aug 2019 16:51:49 +0000 (09:51 -0700)]
Use attributes instead of params

5 years agoSet abc_flop and use it in toposort
Eddie Hung [Mon, 19 Aug 2019 16:40:01 +0000 (09:40 -0700)]
Set abc_flop and use it in toposort

5 years agoUse %d
Eddie Hung [Mon, 19 Aug 2019 16:16:20 +0000 (09:16 -0700)]
Use %d

5 years agoMerge pull request #1306 from mmicko/gitignore_fix
Clifford Wolf [Mon, 19 Aug 2019 11:09:12 +0000 (13:09 +0200)]
Merge pull request #1306 from mmicko/gitignore_fix

Ignore all generated headers for pmgen pass

5 years agoAdd *.sv to tests/simple_abc9/.gitignore
Clifford Wolf [Mon, 19 Aug 2019 11:04:57 +0000 (13:04 +0200)]
Add *.sv to tests/simple_abc9/.gitignore

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1305 from YosysHQ/clifford/testfast
Clifford Wolf [Mon, 19 Aug 2019 10:58:09 +0000 (12:58 +0200)]
Merge pull request #1305 from YosysHQ/clifford/testfast

Speed up "make test" and related cleanups

5 years agoMerge remote-tracking branch 'origin/master' into clifford/testfast
Eddie Hung [Mon, 19 Aug 2019 04:29:15 +0000 (21:29 -0700)]
Merge remote-tracking branch 'origin/master' into clifford/testfast

5 years agoRemoval of more `stat` calls from tests
Eddie Hung [Mon, 19 Aug 2019 04:28:45 +0000 (21:28 -0700)]
Removal of more `stat` calls from tests

5 years agoIgnore all generated headers for pmgen pass
Miodrag Milanovic [Sun, 18 Aug 2019 08:49:17 +0000 (10:49 +0200)]
Ignore all generated headers for pmgen pass

5 years agoMerge pull request #1290 from YosysHQ/eddie/pr1266_again
whitequark [Sun, 18 Aug 2019 08:04:26 +0000 (08:04 +0000)]
Merge pull request #1290 from YosysHQ/eddie/pr1266_again

Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER (retry)

5 years agoMerge branch 'master' into eddie/pr1266_again
whitequark [Sun, 18 Aug 2019 08:04:10 +0000 (08:04 +0000)]
Merge branch 'master' into eddie/pr1266_again

5 years agoMerge pull request #1283 from YosysHQ/clifford/fix1255
Clifford Wolf [Sat, 17 Aug 2019 13:07:16 +0000 (15:07 +0200)]
Merge pull request #1283 from YosysHQ/clifford/fix1255

Fix various NDEBUG compiler warnings

5 years agoMerge pull request #1303 from YosysHQ/bogdanvuk/opt_share
Clifford Wolf [Sat, 17 Aug 2019 13:03:46 +0000 (15:03 +0200)]
Merge pull request #1303 from YosysHQ/bogdanvuk/opt_share

Implement opt_share from @bogdanvuk

5 years agoMerge pull request #1300 from YosysHQ/eddie/cleanup2
Clifford Wolf [Sat, 17 Aug 2019 13:01:31 +0000 (15:01 +0200)]
Merge pull request #1300 from YosysHQ/eddie/cleanup2

Use ID::{A,B,Y,keep,blackbox,whitebox} instead of ID()

5 years agoFix erroneous ifndef-NDEBUG in verific.cc
Clifford Wolf [Sat, 17 Aug 2019 12:47:02 +0000 (14:47 +0200)]
Fix erroneous ifndef-NDEBUG in verific.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSpeed up "make test" and related cleanups
Clifford Wolf [Sat, 17 Aug 2019 12:37:07 +0000 (14:37 +0200)]
Speed up "make test" and related cleanups

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'eddie/abc9_refactor' into xaig_dff
Eddie Hung [Fri, 16 Aug 2019 23:51:22 +0000 (16:51 -0700)]
Merge branch 'eddie/abc9_refactor' into xaig_dff

5 years agoUse ID()
Eddie Hung [Fri, 16 Aug 2019 23:38:49 +0000 (16:38 -0700)]
Use ID()

5 years agoAdd doc for abc_* attributes
Eddie Hung [Fri, 16 Aug 2019 23:07:29 +0000 (16:07 -0700)]
Add doc for abc_* attributes

5 years agoUpdate abc_* attr in ecp5 and ice40
Eddie Hung [Fri, 16 Aug 2019 22:56:57 +0000 (15:56 -0700)]
Update abc_* attr in ecp5 and ice40

5 years agoCompute abc_scc_break and move CI/CO outside of each abc9
Eddie Hung [Fri, 16 Aug 2019 22:41:17 +0000 (15:41 -0700)]
Compute abc_scc_break and move CI/CO outside of each abc9

5 years agoAttach abc_scc_break, abc_carry_{in,out} attr to ports not modules
Eddie Hung [Fri, 16 Aug 2019 22:40:53 +0000 (15:40 -0700)]
Attach abc_scc_break, abc_carry_{in,out} attr to ports not modules

5 years agoMerge pull request #1250 from bwidawsk/master
Eddie Hung [Fri, 16 Aug 2019 21:07:09 +0000 (14:07 -0700)]
Merge pull request #1250 from bwidawsk/master

techlibs/intel: Clean up Makefile

5 years agoUse ID() macro
Eddie Hung [Fri, 16 Aug 2019 21:01:55 +0000 (14:01 -0700)]
Use ID() macro

5 years agoAdd 'opt_share' to CHANGELOG
Eddie Hung [Fri, 16 Aug 2019 20:47:51 +0000 (13:47 -0700)]
Add 'opt_share' to CHANGELOG

5 years agoAdd 'opt_share' to 'opt -full'
Eddie Hung [Fri, 16 Aug 2019 20:47:37 +0000 (13:47 -0700)]
Add 'opt_share' to 'opt -full'

5 years agoMerge https://github.com/bogdanvuk/yosys into bogdanvuk/opt_share
Eddie Hung [Fri, 16 Aug 2019 20:40:29 +0000 (13:40 -0700)]
Merge https://github.com/bogdanvuk/yosys into bogdanvuk/opt_share

5 years agoRemove unused variable
Eddie Hung [Fri, 16 Aug 2019 20:35:39 +0000 (13:35 -0700)]
Remove unused variable

5 years agoMove namespace alias
Eddie Hung [Fri, 16 Aug 2019 19:37:11 +0000 (19:37 +0000)]
Move namespace alias

5 years agoRemove `using namespace RTLIL;`
Eddie Hung [Fri, 16 Aug 2019 19:36:45 +0000 (19:36 +0000)]
Remove `using namespace RTLIL;`

5 years agoMerge pull request #1302 from mmicko/dfflibmap_regression
Clifford Wolf [Fri, 16 Aug 2019 12:26:58 +0000 (14:26 +0200)]
Merge pull request #1302 from mmicko/dfflibmap_regression

DFFLIBMAP pass regression fix

5 years agoDo not use Verific in tests/various/write_gzip.ys
Clifford Wolf [Fri, 16 Aug 2019 12:22:46 +0000 (14:22 +0200)]
Do not use Verific in tests/various/write_gzip.ys

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRegression in abc9
Miodrag Milanovic [Fri, 16 Aug 2019 11:21:11 +0000 (13:21 +0200)]
Regression in abc9

5 years agoJust needed IDs to be IdString
Miodrag Milanovic [Fri, 16 Aug 2019 08:22:04 +0000 (10:22 +0200)]
Just needed IDs to be IdString

5 years agoAdd missing NMUX to "abc -g" handling
Clifford Wolf [Fri, 16 Aug 2019 08:36:11 +0000 (10:36 +0200)]
Add missing NMUX to "abc -g" handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoTry this for gcc-4.8?
Eddie Hung [Thu, 15 Aug 2019 23:20:54 +0000 (16:20 -0700)]
Try this for gcc-4.8?

5 years agoFix spacing
Eddie Hung [Thu, 15 Aug 2019 21:54:41 +0000 (14:54 -0700)]
Fix spacing

5 years agoUse ID::keep more liberally too
Eddie Hung [Thu, 15 Aug 2019 21:51:12 +0000 (14:51 -0700)]
Use ID::keep more liberally too

5 years agoUse more ID::{A,B,Y,blackbox,whitebox}
Eddie Hung [Thu, 15 Aug 2019 21:50:10 +0000 (14:50 -0700)]
Use more ID::{A,B,Y,blackbox,whitebox}

5 years agoMerge pull request #1299 from YosysHQ/eddie/cleanup2
Clifford Wolf [Thu, 15 Aug 2019 20:56:32 +0000 (22:56 +0200)]
Merge pull request #1299 from YosysHQ/eddie/cleanup2

More cleanup, more use of ID() inside passes/techmap

5 years agoMerge branch 'master' into clifford/fix1255
Clifford Wolf [Thu, 15 Aug 2019 20:44:38 +0000 (22:44 +0200)]
Merge branch 'master' into clifford/fix1255

5 years agoFix
Eddie Hung [Thu, 15 Aug 2019 18:25:42 +0000 (11:25 -0700)]
Fix

5 years agoChange signature of parse_blif to take IdString
Eddie Hung [Thu, 15 Aug 2019 17:26:24 +0000 (10:26 -0700)]
Change signature of parse_blif to take IdString

5 years agoID(\\.*) -> ID(.*)
Eddie Hung [Thu, 15 Aug 2019 17:25:54 +0000 (10:25 -0700)]
ID(\\.*) -> ID(.*)

5 years agoConvert a few more to ID
Eddie Hung [Thu, 15 Aug 2019 17:24:35 +0000 (10:24 -0700)]
Convert a few more to ID

5 years agoTransform all "\\*" identifiers into ID()
Eddie Hung [Thu, 15 Aug 2019 17:19:29 +0000 (10:19 -0700)]
Transform all "\\*" identifiers into ID()

5 years agoTransform "$.*" to ID("$.*") in passes/techmap
Eddie Hung [Thu, 15 Aug 2019 17:05:08 +0000 (10:05 -0700)]
Transform "$.*" to ID("$.*") in passes/techmap

5 years agoMore use of IdString::in()
Eddie Hung [Thu, 15 Aug 2019 16:23:57 +0000 (09:23 -0700)]
More use of IdString::in()

5 years agoMerge pull request #1297 from YosysHQ/eddie/fix_1284_again
Eddie Hung [Thu, 15 Aug 2019 14:49:02 +0000 (07:49 -0700)]
Merge pull request #1297 from YosysHQ/eddie/fix_1284_again

extract_fa: Un-inverting AND with an inverted input also inverts input to X{,N}OR

5 years agoMerge remote-tracking branch 'origin/master' into eddie/fix_1284_again
Eddie Hung [Thu, 15 Aug 2019 13:48:40 +0000 (06:48 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/fix_1284_again

5 years agoMerge pull request #1275 from YosysHQ/clifford/ids
Clifford Wolf [Thu, 15 Aug 2019 10:03:16 +0000 (12:03 +0200)]
Merge pull request #1275 from YosysHQ/clifford/ids

New ID() macro and now also use it

5 years agoMerge branch 'master' into clifford/ids
Clifford Wolf [Thu, 15 Aug 2019 08:22:59 +0000 (10:22 +0200)]
Merge branch 'master' into clifford/ids

5 years agoMerge pull request #1295 from YosysHQ/eddie/fix_travis
Clifford Wolf [Thu, 15 Aug 2019 08:20:22 +0000 (10:20 +0200)]
Merge pull request #1295 from YosysHQ/eddie/fix_travis

Fix Travis CI

5 years agoAND with an inverted input, causes X{,N}OR output to be inverted too
Eddie Hung [Wed, 14 Aug 2019 23:26:24 +0000 (16:26 -0700)]
AND with an inverted input, causes X{,N}OR output to be inverted too

5 years agoRevert "Only sort leaves on non-ANDNOT/ORNOT cells"
Eddie Hung [Wed, 14 Aug 2019 22:23:25 +0000 (15:23 -0700)]
Revert "Only sort leaves on non-ANDNOT/ORNOT cells"

This reverts commit 5ec5f6dec7d4cdcfd9e1a2cda999886605778400.

5 years agoRevert earliest to gcc-4.8, compile iverilog with default compiler
Eddie Hung [Wed, 14 Aug 2019 19:28:17 +0000 (12:28 -0700)]
Revert earliest to gcc-4.8, compile iverilog with default compiler

5 years agoRevert "Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!"
Eddie Hung [Wed, 14 Aug 2019 19:26:45 +0000 (12:26 -0700)]
Revert "Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!"

This reverts commit c82b2fa31f8965be2680c87af6cd9ac5d26ead4d.

5 years agoRemove .0 from clang-8.0
Eddie Hung [Wed, 14 Aug 2019 19:23:15 +0000 (12:23 -0700)]
Remove .0 from clang-8.0

5 years agoBump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!
Eddie Hung [Wed, 14 Aug 2019 19:16:02 +0000 (12:16 -0700)]
Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!

5 years agobionic -> xenial as its on whitelist
Eddie Hung [Wed, 14 Aug 2019 18:52:08 +0000 (11:52 -0700)]
bionic -> xenial as its on whitelist

5 years agoBump gcc from 4.8 to 4.9 as undefined reference
Eddie Hung [Wed, 14 Aug 2019 18:26:32 +0000 (11:26 -0700)]
Bump gcc from 4.8 to 4.9 as undefined reference

... to `__warn_memset_zero_len'.
Also remove gcc-6, bump gcc-7 to gcc-9, clang from 5.0 to 8.0

5 years agoOnly sort leaves on non-ANDNOT/ORNOT cells
Eddie Hung [Wed, 14 Aug 2019 18:25:56 +0000 (11:25 -0700)]
Only sort leaves on non-ANDNOT/ORNOT cells

5 years agoMerge pull request #1294 from YosysHQ/revert-1288-eddie/fix_1284
Eddie Hung [Wed, 14 Aug 2019 17:42:18 +0000 (10:42 -0700)]
Merge pull request #1294 from YosysHQ/revert-1288-eddie/fix_1284

Revert "Since $_ANDNOT_ is not symmetric, do not sort leaves"

5 years agoRevert "Since $_ANDNOT_ is not symmetric, do not sort leaves"
Eddie Hung [Wed, 14 Aug 2019 17:40:53 +0000 (10:40 -0700)]
Revert "Since $_ANDNOT_ is not symmetric, do not sort leaves"

5 years agoMerge pull request #1288 from YosysHQ/eddie/fix_1284
Eddie Hung [Tue, 13 Aug 2019 16:06:11 +0000 (09:06 -0700)]
Merge pull request #1288 from YosysHQ/eddie/fix_1284

Since $_ANDNOT_ is not symmetric, do not sort leaves

5 years agoFix various NDEBUG compiler warnings, closes #1255
Clifford Wolf [Sun, 11 Aug 2019 21:25:46 +0000 (23:25 +0200)]
Fix various NDEBUG compiler warnings, closes #1255

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix $lut pin ordering inside $__ICE40_CARRY_WRAPPER
Eddie Hung [Mon, 12 Aug 2019 19:19:25 +0000 (12:19 -0700)]
Fix $lut pin ordering inside $__ICE40_CARRY_WRAPPER

5 years agoRevert "Merge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_adder"
Eddie Hung [Mon, 12 Aug 2019 19:06:45 +0000 (12:06 -0700)]
Revert "Merge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_adder"

This reverts commit c851dc13108021834533094a8a3236da6d9e0161, reversing
changes made to f54bf1631ff37a83733c162e6ebd188c1d5ea18f.

5 years agoSince $_ANDNOT_ is not symmetric, do not sort leaves
Eddie Hung [Mon, 12 Aug 2019 18:17:15 +0000 (11:17 -0700)]
Since $_ANDNOT_ is not symmetric, do not sort leaves

5 years agoMerge pull request #1152 from 1138-4EB/feat-docker
Serge Bazanski [Mon, 12 Aug 2019 13:09:25 +0000 (15:09 +0200)]
Merge pull request #1152 from 1138-4EB/feat-docker

Dockerfile

5 years agoMerge pull request #1277 from YosysHQ/eddie/fix_1262
Eddie Hung [Mon, 12 Aug 2019 05:10:17 +0000 (22:10 -0700)]
Merge pull request #1277 from YosysHQ/eddie/fix_1262

opt_expr -fine to now trim LSBs of $alu cells too

5 years agoMerge remote-tracking branch 'origin/master' into eddie/fix_1262
Eddie Hung [Mon, 12 Aug 2019 04:13:40 +0000 (21:13 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/fix_1262

5 years agoAdd YOSYS_NO_IDS_REFCNT configuration macro
Clifford Wolf [Sun, 11 Aug 2019 10:23:16 +0000 (12:23 +0200)]
Add YOSYS_NO_IDS_REFCNT configuration macro

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUse ID() in kernel/*, add simple ID:: hack (to be improved upon later)
Clifford Wolf [Sat, 10 Aug 2019 10:24:16 +0000 (12:24 +0200)]
Use ID() in kernel/*, add simple ID:: hack (to be improved upon later)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMore improvements and cleanups in IdString subsystem
Clifford Wolf [Sat, 10 Aug 2019 09:41:09 +0000 (11:41 +0200)]
More improvements and cleanups in IdString subsystem

- better use of "inline" keyword
- deprecate "sticky" IDs feature
- improve handling of empty ID
- add move constructor

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUse ID() macro in all of passes/opt/
Clifford Wolf [Fri, 9 Aug 2019 16:58:14 +0000 (18:58 +0200)]
Use ID() macro in all of passes/opt/

This was obtained by running the following SED command in passes/opt/
and then using "meld foo.cc foo.cc.orig" to manually fix all resulting
compiler errors.

sed -i.orig -r 's/"\\\\([a-zA-Z0-9_]+)"/ID(\1)/g; s/"(\$[a-zA-Z0-9_]+)"/ID(\1)/g;' *.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove API of ID() macro
Clifford Wolf [Fri, 9 Aug 2019 16:54:03 +0000 (18:54 +0200)]
Improve API of ID() macro

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_adder
Eddie Hung [Sat, 10 Aug 2019 21:18:16 +0000 (14:18 -0700)]
Merge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_adder

Revert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"

5 years agoWrong way around
Eddie Hung [Sat, 10 Aug 2019 18:55:00 +0000 (11:55 -0700)]
Wrong way around

5 years agoRevert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"
David Shah [Sat, 10 Aug 2019 16:14:48 +0000 (17:14 +0100)]
Revert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"

5 years agocover_list -> cover as per @cliffordwolf
Eddie Hung [Sat, 10 Aug 2019 15:26:41 +0000 (08:26 -0700)]
cover_list -> cover as per @cliffordwolf

5 years agoMerge pull request #1258 from YosysHQ/eddie/cleanup
Clifford Wolf [Sat, 10 Aug 2019 07:52:14 +0000 (09:52 +0200)]
Merge pull request #1258 from YosysHQ/eddie/cleanup

Cleanup a few barnacles across codebase

5 years agoMerge pull request #1261 from YosysHQ/clifford/verific_init
Clifford Wolf [Sat, 10 Aug 2019 07:47:25 +0000 (09:47 +0200)]
Merge pull request #1261 from YosysHQ/clifford/verific_init

Automatically prune init attributes in verific front-end

5 years agoMerge pull request #1263 from ucb-bar/firrtl_err_on_unsupported_cell
Clifford Wolf [Sat, 10 Aug 2019 07:47:10 +0000 (09:47 +0200)]
Merge pull request #1263 from ucb-bar/firrtl_err_on_unsupported_cell

FIRRTL error on unsupported cell

5 years agoMerge pull request #1270 from YosysHQ/eddie/alu_lcu_doc
Clifford Wolf [Sat, 10 Aug 2019 07:46:46 +0000 (09:46 +0200)]
Merge pull request #1270 from YosysHQ/eddie/alu_lcu_doc

Add a few comments to document $alu and $lcu

5 years agoMerge pull request #1272 from mmicko/travis_fix
Clifford Wolf [Sat, 10 Aug 2019 07:45:26 +0000 (09:45 +0200)]
Merge pull request #1272 from mmicko/travis_fix

Propagate parameters for Travis build