yosys.git
5 years agoUpdate ABC to git rev c5b48bb
Clifford Wolf [Wed, 17 Oct 2018 10:23:50 +0000 (12:23 +0200)]
Update ABC to git rev c5b48bb

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMinor code cleanups in liberty front-end
Clifford Wolf [Wed, 17 Oct 2018 10:23:36 +0000 (12:23 +0200)]
Minor code cleanups in liberty front-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #660 from tklam/parse-liberty-detect-ff-latch
Clifford Wolf [Wed, 17 Oct 2018 10:21:17 +0000 (12:21 +0200)]
Merge pull request #660 from tklam/parse-liberty-detect-ff-latch

Handling ff/latch in liberty files

5 years agoMerge pull request #663 from aman-goel/master
Clifford Wolf [Wed, 17 Oct 2018 10:18:57 +0000 (12:18 +0200)]
Merge pull request #663 from aman-goel/master

Update to .smv backend

5 years agoMerge pull request #658 from daveshah1/ecp5_bram
Clifford Wolf [Wed, 17 Oct 2018 10:16:23 +0000 (12:16 +0200)]
Merge pull request #658 from daveshah1/ecp5_bram

ECP5 BRAM inference

5 years agoMerge pull request #641 from tklam/master
Clifford Wolf [Wed, 17 Oct 2018 10:15:14 +0000 (12:15 +0200)]
Merge pull request #641 from tklam/master

Fix issue #639

5 years agoMerge pull request #638 from udif/pr_reg_wire_error
Clifford Wolf [Wed, 17 Oct 2018 10:13:18 +0000 (12:13 +0200)]
Merge pull request #638 from udif/pr_reg_wire_error

Fix issue #630

5 years agoWe have 2018 now
Clifford Wolf [Tue, 16 Oct 2018 14:51:58 +0000 (16:51 +0200)]
We have 2018 now

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAfter release is before release
Clifford Wolf [Tue, 16 Oct 2018 14:44:58 +0000 (16:44 +0200)]
After release is before release

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'yosys-0.8-rc'
Clifford Wolf [Tue, 16 Oct 2018 14:40:10 +0000 (16:40 +0200)]
Merge branch 'yosys-0.8-rc'

5 years agoYosys 0.8 yosys-0.8
Clifford Wolf [Tue, 16 Oct 2018 14:22:16 +0000 (16:22 +0200)]
Yosys 0.8

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUpdate command reference manual
Clifford Wolf [Tue, 16 Oct 2018 13:28:37 +0000 (15:28 +0200)]
Update command reference manual

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoecp5: Disable LSR inversion
David Shah [Tue, 16 Oct 2018 11:48:39 +0000 (12:48 +0100)]
ecp5: Disable LSR inversion

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMinor update
Aman Goel [Mon, 15 Oct 2018 17:54:12 +0000 (13:54 -0400)]
Minor update

5 years agodetect ff/latch before processing other nodes
argama [Sat, 13 Oct 2018 17:42:48 +0000 (01:42 +0800)]
detect ff/latch before processing other nodes

5 years agostop check_signal_in_fanout from traversing FFs
tklam [Sat, 13 Oct 2018 15:24:24 +0000 (23:24 +0800)]
stop check_signal_in_fanout from traversing FFs

5 years agostop check_signal_in_fanout from traversing FFs
tklam [Sat, 13 Oct 2018 15:11:19 +0000 (23:11 +0800)]
stop check_signal_in_fanout from traversing FFs

5 years agoMerge branch 'master' of https://github.com/YosysHQ/yosys
tklam [Sat, 13 Oct 2018 14:52:31 +0000 (22:52 +0800)]
Merge branch 'master' of https://github.com/YosysHQ/yosys

5 years agoBRAM improvements
David Shah [Fri, 12 Oct 2018 13:22:21 +0000 (14:22 +0100)]
BRAM improvements

Signed-off-by: David Shah <dave@ds0.me>
5 years agoecp5: Adding BRAM maps for all size options
David Shah [Wed, 10 Oct 2018 16:18:17 +0000 (17:18 +0100)]
ecp5: Adding BRAM maps for all size options

Signed-off-by: David Shah <dave@ds0.me>
5 years agoecp5: First BRAM type maps successfully
David Shah [Wed, 10 Oct 2018 15:35:19 +0000 (16:35 +0100)]
ecp5: First BRAM type maps successfully

Signed-off-by: David Shah <dave@ds0.me>
5 years agoecp5: Script for BRAM IO connections
David Shah [Wed, 10 Oct 2018 15:11:00 +0000 (16:11 +0100)]
ecp5: Script for BRAM IO connections

Signed-off-by: David Shah <dave@ds0.me>
5 years agoecp5: Adding BRAM initialisation and config
David Shah [Tue, 9 Oct 2018 13:19:04 +0000 (14:19 +0100)]
ecp5: Adding BRAM initialisation and config

Signed-off-by: David Shah <dave@ds0.me>
5 years agoImprove Verific importer blackbox handling
Clifford Wolf [Sun, 7 Oct 2018 17:48:42 +0000 (19:48 +0200)]
Improve Verific importer blackbox handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoecp5: Add blackbox for DP16KD
David Shah [Fri, 5 Oct 2018 10:35:59 +0000 (11:35 +0100)]
ecp5: Add blackbox for DP16KD

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMerge pull request #651 from ARandomOWL/stdcells_fix
Clifford Wolf [Fri, 5 Oct 2018 07:59:57 +0000 (09:59 +0200)]
Merge pull request #651 from ARandomOWL/stdcells_fix

Fix IdString M in setup_stdcells()

5 years agoAdd "write_edif -attrprop"
Clifford Wolf [Fri, 5 Oct 2018 07:41:18 +0000 (09:41 +0200)]
Add "write_edif -attrprop"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #654 from mithro/patch-1
Clifford Wolf [Fri, 5 Oct 2018 07:29:26 +0000 (09:29 +0200)]
Merge pull request #654 from mithro/patch-1

Fix misspelling in issue_template.md

5 years agoFix compiler warning in verific.cc
Clifford Wolf [Fri, 5 Oct 2018 07:26:10 +0000 (09:26 +0200)]
Fix compiler warning in verific.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix misspelling in issue_template.md
Tim Ansell [Fri, 5 Oct 2018 00:15:30 +0000 (17:15 -0700)]
Fix misspelling in issue_template.md

It's been bugging me :-P

5 years agoFix IdString M in setup_stdcells()
Adrian Wheeldon [Thu, 4 Oct 2018 14:36:26 +0000 (15:36 +0100)]
Fix IdString M in setup_stdcells()

5 years agoAdd inout ports to cells_xtra.v
Clifford Wolf [Thu, 4 Oct 2018 09:30:55 +0000 (11:30 +0200)]
Add inout ports to cells_xtra.v

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #650 from mithro/patch-1
Clifford Wolf [Thu, 4 Oct 2018 09:30:00 +0000 (11:30 +0200)]
Merge pull request #650 from mithro/patch-1

xilinx: Adding missing inout IO port to IOBUF

5 years agoxilinx: Adding missing inout IO port to IOBUF
Tim Ansell [Wed, 3 Oct 2018 23:38:32 +0000 (16:38 -0700)]
xilinx: Adding missing inout IO port to IOBUF

5 years agoMerge branch 'master' of https://github.com/YosysHQ/yosys
tklam [Wed, 3 Oct 2018 13:17:03 +0000 (21:17 +0800)]
Merge branch 'master' of https://github.com/YosysHQ/yosys

5 years agoMerge pull request #645 from daveshah1/ecp5_dram_fix
Clifford Wolf [Tue, 2 Oct 2018 08:00:10 +0000 (10:00 +0200)]
Merge pull request #645 from daveshah1/ecp5_dram_fix

ecp5: Don't map ROMs to DRAM

5 years agoMerge pull request #646 from tomverbeure/issue594
Clifford Wolf [Tue, 2 Oct 2018 07:51:44 +0000 (09:51 +0200)]
Merge pull request #646 from tomverbeure/issue594

Fix for issue 594.

5 years agoFix for issue 594.
Tom Verbeure [Tue, 2 Oct 2018 07:44:23 +0000 (07:44 +0000)]
Fix for issue 594.

5 years agoUpdate to .smv backend
Aman Goel [Mon, 1 Oct 2018 23:03:10 +0000 (19:03 -0400)]
Update to .smv backend

Splitting VAR and ASSIGN into IVAR, VAR, DEFINE and ASSIGN. This allows better handling by nuXmv for post-processing (since now only state variables are listed under VAR).

5 years agoAdd read_verilog $changed support
Dan Gisselquist [Mon, 1 Oct 2018 17:41:35 +0000 (19:41 +0200)]
Add read_verilog $changed support

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoecp5: Don't map ROMs to DRAM
David Shah [Mon, 1 Oct 2018 17:34:41 +0000 (18:34 +0100)]
ecp5: Don't map ROMs to DRAM

Signed-off-by: David Shah <davey1576@gmail.com>
5 years agoMerge pull request #4 from YosysHQ/master
Aman Goel [Mon, 1 Oct 2018 13:09:40 +0000 (09:09 -0400)]
Merge pull request #4 from YosysHQ/master

Merge with official repo

5 years agoMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
Clifford Wolf [Sun, 30 Sep 2018 16:44:07 +0000 (18:44 +0200)]
Merge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys

5 years agoFix handling of $past 2nd argument in read_verilog
Clifford Wolf [Sun, 30 Sep 2018 16:43:35 +0000 (18:43 +0200)]
Fix handling of $past 2nd argument in read_verilog

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
Clifford Wolf [Fri, 28 Sep 2018 15:20:43 +0000 (17:20 +0200)]
Merge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys

5 years agoUpdate to v2 YosysVS template
Clifford Wolf [Fri, 28 Sep 2018 15:20:16 +0000 (17:20 +0200)]
Update to v2 YosysVS template

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agofix bug: pass by reference
tklam [Wed, 26 Sep 2018 09:57:39 +0000 (17:57 +0800)]
fix bug: pass by reference

5 years agoFix issue #639
TK Lam [Wed, 26 Sep 2018 08:11:45 +0000 (16:11 +0800)]
Fix issue #639

5 years agoFixed issue #630 by fixing a minor typo in the previous commit
Udi Finkelstein [Mon, 24 Sep 2018 21:32:57 +0000 (00:32 +0300)]
Fixed issue #630 by fixing a minor typo in the previous commit
(as well as a non critical minor code optimization)

5 years agoAdd "read_verilog -noassert -noassume -assert-assumes"
Clifford Wolf [Mon, 24 Sep 2018 18:51:16 +0000 (20:51 +0200)]
Add "read_verilog -noassert -noassume -assert-assumes"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdded support for ommited "parameter" in Verilog-2001 style parameter decl in SV...
Clifford Wolf [Sun, 23 Sep 2018 08:32:54 +0000 (10:32 +0200)]
Added support for ommited "parameter" in Verilog-2001 style parameter decl in SV mode

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'master' of https://github.com/mmicko/yosys into yosys-0.8-rc
Clifford Wolf [Sun, 23 Sep 2018 08:04:37 +0000 (10:04 +0200)]
Merge branch 'master' of https://github.com/mmicko/yosys into yosys-0.8-rc

5 years agoUpdate CHANGELOG
Clifford Wolf [Sun, 23 Sep 2018 07:25:40 +0000 (09:25 +0200)]
Update CHANGELOG

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoadded prefix to FDirection constants, fixing windows build
Miodrag Milanovic [Fri, 21 Sep 2018 18:43:49 +0000 (20:43 +0200)]
added prefix to FDirection constants, fixing windows build

5 years agoUpdate CHANGLELOG
Clifford Wolf [Fri, 21 Sep 2018 14:27:07 +0000 (16:27 +0200)]
Update CHANGLELOG

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUpdate Changelog
Clifford Wolf [Fri, 21 Sep 2018 11:55:20 +0000 (13:55 +0200)]
Update Changelog

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #633 from mmicko/master
Clifford Wolf [Wed, 19 Sep 2018 13:08:31 +0000 (15:08 +0200)]
Merge pull request #633 from mmicko/master

Fix Cygwin build and document needed packages

5 years agoMerge pull request #631 from acw1251/master
Clifford Wolf [Wed, 19 Sep 2018 13:07:28 +0000 (15:07 +0200)]
Merge pull request #631 from acw1251/master

Fixed typo in "verilog_write" help message

5 years agoFix Cygwin build and document needed packages
Miodrag Milanovic [Wed, 19 Sep 2018 08:16:53 +0000 (10:16 +0200)]
Fix Cygwin build and document needed packages

5 years agoFixed typo in "verilog_write" help message
acw1251 [Tue, 18 Sep 2018 17:34:30 +0000 (13:34 -0400)]
Fixed typo in "verilog_write" help message

5 years agoMerge branch 'master' into pr_reg_wire_error
Udi Finkelstein [Mon, 17 Sep 2018 22:27:01 +0000 (01:27 +0300)]
Merge branch 'master' into pr_reg_wire_error

5 years agoFixed remaining cases where we check fo wire reg/wire incorrect assignments
Udi Finkelstein [Mon, 17 Sep 2018 22:23:40 +0000 (01:23 +0300)]
Fixed remaining cases where we check fo wire reg/wire incorrect assignments
on Yosys-generated assignments.
In this case, offending code was:

module top(input in, output out);
function func;
  input arg;
  func = arg;
endfunction
assign out = func(in);
endmodule

5 years agoMerge pull request #625 from aman-goel/master
Clifford Wolf [Fri, 14 Sep 2018 10:36:13 +0000 (12:36 +0200)]
Merge pull request #625 from aman-goel/master

Minor revision to -expose in setundef pass

5 years agoMerge pull request #627 from acw1251/master
Clifford Wolf [Fri, 14 Sep 2018 10:34:51 +0000 (12:34 +0200)]
Merge pull request #627 from acw1251/master

Fixed minor typo in "sim" help message

5 years agoFixed minor typo in "sim" help message
acw1251 [Wed, 12 Sep 2018 22:33:27 +0000 (18:33 -0400)]
Fixed minor typo in "sim" help message

5 years agoMinor revision to -expose in setundef pass
Aman Goel [Tue, 11 Sep 2018 01:44:36 +0000 (21:44 -0400)]
Minor revision to -expose in setundef pass

Adds default value option as -undef when -expose used. Not having set the value mode set can cause the setundef pass to abort.

5 years agoAdd iCE40 SB_SPRAM256KA simulation model
Clifford Wolf [Mon, 10 Sep 2018 09:57:24 +0000 (11:57 +0200)]
Add iCE40 SB_SPRAM256KA simulation model

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd $lut support to Verilog back-end
Clifford Wolf [Wed, 5 Sep 2018 22:18:01 +0000 (00:18 +0200)]
Add $lut support to Verilog back-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "verific -L <int>" option
Clifford Wolf [Tue, 4 Sep 2018 18:06:10 +0000 (20:06 +0200)]
Add "verific -L <int>" option

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "make ystests"
Clifford Wolf [Thu, 30 Aug 2018 10:26:26 +0000 (12:26 +0200)]
Add "make ystests"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd GCC to osx deps (#620)
Miodrag Milanović [Tue, 28 Aug 2018 15:17:33 +0000 (08:17 -0700)]
Add GCC to osx deps (#620)

* Add GCC to osx deps

* Force gcc-7 install

5 years agoMerge pull request #619 from mmicko/master
Clifford Wolf [Tue, 28 Aug 2018 11:37:11 +0000 (13:37 +0200)]
Merge pull request #619 from mmicko/master

Remove mercurial, since it is not needed anymore

5 years agoRemove mercurial, since it is not needed anymore
Miodrag Milanovic [Tue, 28 Aug 2018 11:11:41 +0000 (13:11 +0200)]
Remove mercurial, since it is not needed anymore

5 years agoMerge pull request #618 from ucb-bar/firrtl+modules+shiftfixes
Clifford Wolf [Tue, 28 Aug 2018 10:04:49 +0000 (12:04 +0200)]
Merge pull request #618 from ucb-bar/firrtl+modules+shiftfixes

Add support for modules.

5 years agoMerge branch 'master' into firrtl+modules+shiftfixes
Jim Lawson [Mon, 27 Aug 2018 19:13:04 +0000 (12:13 -0700)]
Merge branch 'master' into firrtl+modules+shiftfixes

5 years agoRemove unused functions.
Jim Lawson [Mon, 27 Aug 2018 17:18:33 +0000 (10:18 -0700)]
Remove unused functions.

5 years agoMerge pull request #3 from YosysHQ/master
Jim Lawson [Mon, 27 Aug 2018 17:09:39 +0000 (10:09 -0700)]
Merge pull request #3 from YosysHQ/master

merge with YosysHQ

5 years agoAdd "make coverage"
Clifford Wolf [Mon, 27 Aug 2018 12:22:21 +0000 (14:22 +0200)]
Add "make coverage"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd ENABLE_GCOV build option
Clifford Wolf [Mon, 27 Aug 2018 11:27:05 +0000 (13:27 +0200)]
Add ENABLE_GCOV build option

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #617 from mmicko/master
Clifford Wolf [Sat, 25 Aug 2018 14:40:55 +0000 (16:40 +0200)]
Merge pull request #617 from mmicko/master

static link flag on main executable

5 years agostatic link flag on main executable
Miodrag Milanovic [Sat, 25 Aug 2018 14:20:44 +0000 (16:20 +0200)]
static link flag on main executable

5 years agoAdd support for module instances.
Jim Lawson [Thu, 23 Aug 2018 21:35:11 +0000 (14:35 -0700)]
Add support for module instances.
Don't pad logical operands to one bit.
Use operand width and signedness in $reduce_bool.
Shift amounts are unsigned and shouldn't be padded.
Group "is invalid" with the wire declaration, not its use (otherwise it is incorrectly wired to 0).

5 years agoMerge pull request #610 from udif/udif_specify_round2
Clifford Wolf [Thu, 23 Aug 2018 12:43:25 +0000 (14:43 +0200)]
Merge pull request #610 from udif/udif_specify_round2

More specify/endspecify fixes

5 years agoMerge pull request #614 from udif/pr_disable_dump_ptr
Clifford Wolf [Thu, 23 Aug 2018 12:41:41 +0000 (14:41 +0200)]
Merge pull request #614 from udif/pr_disable_dump_ptr

Added -no_dump_ptr flag for AST dump options in 'read_verilog'

5 years agoAdded -no_dump_ptr flag for AST dump options in 'read_verilog'
Udi Finkelstein [Thu, 23 Aug 2018 12:19:46 +0000 (15:19 +0300)]
Added -no_dump_ptr flag for AST dump options in 'read_verilog'
This option disables the memory pointer display.
This is useful when diff'ing different dumps because otherwise the node pointers
makes every diff line different when the AST content is the same.

5 years agoMerge pull request #1 from YosysHQ/master
Jim Lawson [Wed, 22 Aug 2018 15:42:34 +0000 (08:42 -0700)]
Merge pull request #1 from YosysHQ/master

merge with YosysHQ master

5 years agoAdd "verific -work" help message
Clifford Wolf [Wed, 22 Aug 2018 15:22:24 +0000 (17:22 +0200)]
Add "verific -work" help message

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd Verific -work parameter
Clifford Wolf [Wed, 22 Aug 2018 11:30:22 +0000 (13:30 +0200)]
Add Verific -work parameter

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFixed all known specify/endspecify issues, without breaking 'make test'.
Udi Finkelstein [Mon, 20 Aug 2018 14:27:45 +0000 (17:27 +0300)]
Fixed all known specify/endspecify issues, without breaking 'make test'.
Some the of parser fixes may look strange but they were needed to avoid shift/reduce conflicts,
due to the explicit parentheses in path_delay_value, and the mintypmax values without parentheses

5 years agoYosys can now parse https://github.com/verilog-to-routing/vtr-verilog-to-routing...
Udi Finkelstein [Sun, 19 Aug 2018 21:08:08 +0000 (00:08 +0300)]
Yosys can now parse https://github.com/verilog-to-routing/vtr-verilog-to-routing/blob/master/vtr_flow/primitives.v ,
(specify block ignored).
Must use 'read_verilog -defer' due to a parameter not assigned a default value.

5 years agoMerge pull request #606 from cr1901/show-win
Clifford Wolf [Sun, 19 Aug 2018 13:25:46 +0000 (15:25 +0200)]
Merge pull request #606 from cr1901/show-win

`show` pass `-format` and `-viewer` improvements on Windows

5 years agoMerge pull request #608 from mmicko/master
Clifford Wolf [Sat, 18 Aug 2018 17:17:42 +0000 (19:17 +0200)]
Merge pull request #608 from mmicko/master

Static builds and cross-compilation support

5 years agono -fPIC for any static build
Miodrag Milanovic [Sat, 18 Aug 2018 17:17:02 +0000 (19:17 +0200)]
no -fPIC for any static build

5 years agorespect DISABLE_ABC_THREADS if used
Miodrag Milanovic [Sat, 18 Aug 2018 16:21:28 +0000 (18:21 +0200)]
respect DISABLE_ABC_THREADS if used

5 years agoEnable propagating ARCHFLAGS
Miodrag Milanovic [Sat, 18 Aug 2018 13:11:58 +0000 (15:11 +0200)]
Enable propagating ARCHFLAGS

5 years agoAdded option to disable -fPIC on unsupported platforms
Miodrag Milanovic [Sat, 18 Aug 2018 12:14:17 +0000 (14:14 +0200)]
Added option to disable -fPIC on unsupported platforms

5 years agoAdded gcc-static for easier cross compilation
Miodrag Milanovic [Sat, 18 Aug 2018 12:00:55 +0000 (14:00 +0200)]
Added gcc-static for easier cross compilation

5 years agoMerge pull request #575 from aman-goel/master
Clifford Wolf [Sat, 18 Aug 2018 11:22:39 +0000 (13:22 +0200)]
Merge pull request #575 from aman-goel/master

Adds -expose option to setundef pass

5 years agoRevision to expose option in setundef pass
Aman Goel [Sat, 18 Aug 2018 03:38:07 +0000 (09:08 +0530)]
Revision to expose option in setundef pass

Corrects indentation

Simplifications and corrections

5 years agoMerge pull request #3 from YosysHQ/master
Aman Goel [Sat, 18 Aug 2018 02:48:40 +0000 (08:18 +0530)]
Merge pull request #3 from YosysHQ/master

Updates from official repo