litex.git
6 years agosoc/integration/soc_core: improve error message for missing csrs
Florent Kermarrec [Mon, 5 Mar 2018 08:59:06 +0000 (09:59 +0100)]
soc/integration/soc_core: improve error message for missing csrs

6 years agoMerge pull request #68 from mithro/improve-csr-missing-error-message
enjoy-digital [Mon, 5 Mar 2018 07:38:25 +0000 (08:38 +0100)]
Merge pull request #68 from mithro/improve-csr-missing-error-message

Improving error message when csr name is not found.

6 years agoMerge pull request #69 from mithro/conda-support
enjoy-digital [Sun, 4 Mar 2018 18:50:39 +0000 (19:50 +0100)]
Merge pull request #69 from mithro/conda-support

Adding conda environment and simple travis build

6 years agotravis: Adding some color.
Tim 'mithro' Ansell [Sun, 4 Mar 2018 02:09:05 +0000 (18:09 -0800)]
travis: Adding some color.

6 years agotravis: Move the conda install into script so it can be folded.
Tim 'mithro' Ansell [Sun, 4 Mar 2018 01:37:43 +0000 (17:37 -0800)]
travis: Move the conda install into script so it can be folded.

6 years agotravis: Making the output more readable.
Tim 'mithro' Ansell [Sun, 4 Mar 2018 00:46:38 +0000 (16:46 -0800)]
travis: Making the output more readable.

6 years agotravis: Build all the SoCs (without gateware).
Tim 'mithro' Ansell [Sun, 4 Mar 2018 00:41:53 +0000 (16:41 -0800)]
travis: Build all the SoCs (without gateware).

 - TODO: Build the simulator SoC.

6 years agoAdding a travis config which tests the conda environment still works.
Tim 'mithro' Ansell [Sun, 4 Mar 2018 00:20:27 +0000 (16:20 -0800)]
Adding a travis config which tests the conda environment still works.

6 years agoAdding conda environment example.
Tim 'mithro' Ansell [Sun, 4 Mar 2018 00:19:47 +0000 (16:19 -0800)]
Adding conda environment example.

This is a very light weight way of doing something similar to the
litex-buildenv.

6 years agoImproving error message when csr name is not found.
Tim 'mithro' Ansell [Sun, 4 Mar 2018 00:02:44 +0000 (16:02 -0800)]
Improving error message when csr name is not found.

Before;
```
"/usr/local/lib/python3.5/dist-packages/litex-0.1-py3.5.egg/litex/soc/integration/soc_core.py",
line 258, in get_csr_dev_address
return self.csr_map[name]
KeyError: 'core'
```

Now;
```
Traceback (most recent call last):
  File "XXXX/github/enjoy-digital/litex/litex/soc/integration/soc_core.py", line 259, in get_csr_dev_address
    return self.csr_map[name]
KeyError: 'ddrphy'

The above exception was the direct cause of the following exception:

Traceback (most recent call last):
  ...
  File "XXXX/github/enjoy-digital/litex/litex/soc/interconnect/csr_bus.py", line 199, in scan
    mapaddr = self.address_map(name, None)
  File "XXXX/github/enjoy-digital/litex/litex/soc/integration/soc_core.py", line 269, in get_csr_dev_address
    ) from e
RuntimeError: Unable to find ddrphy in your SoC's csr address map.

Check BaseSoC.csr_map in XXXX/github/enjoy-digital/litex/litex/boards/targets/arty.py

Found l2_cache, timer0, ddrphy2, buttons, sdram, identifier_mem, uart, uart_phy, leds, crg in the csr_map
```

6 years agoMerge pull request #67 from cr1901/vivado-paths
enjoy-digital [Sat, 3 Mar 2018 07:29:18 +0000 (08:29 +0100)]
Merge pull request #67 from cr1901/vivado-paths

xilinx/vivado: Provide a fallback mechanism for using the same root f…

6 years agoMerge pull request #65 from cr1901/tinyfpga-serial
enjoy-digital [Sat, 3 Mar 2018 07:28:57 +0000 (08:28 +0100)]
Merge pull request #65 from cr1901/tinyfpga-serial

platforms/tinyfpga_b: Move serial peripheral out of default I/O, make it

6 years agoxilinx/vivado: Provide a fallback mechanism for using the same root for Vivado and...
William D. Jones [Sat, 3 Mar 2018 02:48:49 +0000 (21:48 -0500)]
xilinx/vivado: Provide a fallback mechanism for using the same root for Vivado and ISE toolchains.

6 years agobuild/xilinx/platform: fix merge
Florent Kermarrec [Fri, 2 Mar 2018 23:07:50 +0000 (00:07 +0100)]
build/xilinx/platform: fix merge

6 years agoMerge pull request #66 from cr1901/arty_s7
Tim Ansell [Fri, 2 Mar 2018 20:11:35 +0000 (12:11 -0800)]
Merge pull request #66 from cr1901/arty_s7

boards/arty_s7: Fix IOStandard on System Clock.

6 years agoboards/arty_s7: Fix IOStandard on System Clock.
William D. Jones [Fri, 2 Mar 2018 18:31:24 +0000 (13:31 -0500)]
boards/arty_s7: Fix IOStandard on System Clock.

6 years agoREADME: add migen installation to quick start guide
Florent Kermarrec [Thu, 1 Mar 2018 09:15:43 +0000 (10:15 +0100)]
README: add migen installation to quick start guide

6 years agobuild/xilinx/vivado: revert toolchain_path
Florent Kermarrec [Wed, 28 Feb 2018 22:45:26 +0000 (23:45 +0100)]
build/xilinx/vivado: revert toolchain_path

6 years agobuild: fix merge
Florent Kermarrec [Wed, 28 Feb 2018 22:10:24 +0000 (23:10 +0100)]
build: fix merge

6 years agobuild: merge with migen.build 27beffe7
Florent Kermarrec [Wed, 28 Feb 2018 15:45:34 +0000 (16:45 +0100)]
build: merge with migen.build 27beffe7

6 years agoboards/kcu105: regroup sfp tx and rx
Florent Kermarrec [Wed, 28 Feb 2018 13:11:58 +0000 (14:11 +0100)]
boards/kcu105: regroup sfp tx and rx

6 years agoplatforms/tinyfpga_b: Move serial peripheral out of default I/O, make it
William D. Jones [Wed, 27 Dec 2017 20:11:36 +0000 (15:11 -0500)]
platforms/tinyfpga_b: Move serial peripheral out of default I/O, make it
optional via `add_extension`.

6 years agoREADME: add section for newcomers
Florent Kermarrec [Fri, 23 Feb 2018 13:37:10 +0000 (14:37 +0100)]
README: add section for newcomers

6 years agoREADME: cleanup
Florent Kermarrec [Fri, 23 Feb 2018 13:15:41 +0000 (14:15 +0100)]
README: cleanup

6 years agoREADME: update, migen is no longer forked
Florent Kermarrec [Fri, 23 Feb 2018 13:08:13 +0000 (14:08 +0100)]
README: update, migen is no longer forked

6 years agoreplace litex.gen imports with migen imports
Florent Kermarrec [Fri, 23 Feb 2018 12:38:19 +0000 (13:38 +0100)]
replace litex.gen imports with migen imports

6 years agoremove migen fork from litex
Florent Kermarrec [Fri, 23 Feb 2018 12:37:26 +0000 (13:37 +0100)]
remove migen fork from litex

6 years agobump to 0.2.dev
Florent Kermarrec [Fri, 23 Feb 2018 12:36:32 +0000 (13:36 +0100)]
bump to 0.2.dev

6 years agouniformize with litex cores and make things more clear about what LiteX vs Migen...
Florent Kermarrec [Thu, 22 Feb 2018 10:52:10 +0000 (11:52 +0100)]
uniformize with litex cores and make things more clear about what LiteX vs Migen/MiSoC

6 years agoMerge pull request #64 from q3k/q3k/axi4lite
enjoy-digital [Wed, 21 Feb 2018 08:47:29 +0000 (09:47 +0100)]
Merge pull request #64 from q3k/q3k/axi4lite

Preliminary AXI4Lite support: CSR bridge

6 years agoChange AXI interface and tidy code
Sergiusz Bazanski [Wed, 21 Feb 2018 00:00:58 +0000 (00:00 +0000)]
Change AXI interface and tidy code

Inspired by parts of https://github.com/peteut/migen-misc/

6 years agoPreliminary AXI4Lite CSR bridge support
Sergiusz Bazanski [Tue, 20 Feb 2018 21:27:51 +0000 (21:27 +0000)]
Preliminary AXI4Lite CSR bridge support

This change introduces an AXI4Lite to CSR bridge. Hopefully it will
become extended in the future with full AXI support and more structures
(Wishbone bridge, interconnect, ...). For now this will do.

The bridge has been simulated (and includes an FHDL testbench) and
tested in hardware (on a Zynq 7020).

6 years agoMerge pull request #60 from q3k/for-upstream/top-level-module-selection
enjoy-digital [Mon, 19 Feb 2018 11:27:25 +0000 (12:27 +0100)]
Merge pull request #60 from q3k/for-upstream/top-level-module-selection

Top module selection (for Verilator and Diamond)

6 years agoMerge pull request #57 from rohitk-singh/master
enjoy-digital [Sat, 10 Feb 2018 20:37:38 +0000 (21:37 +0100)]
Merge pull request #57 from rohitk-singh/master

WIP - BIOS: Flashboot without main ram

6 years agoboard/targets/nexys4ddr: use MT47H64M16
Florent Kermarrec [Tue, 6 Feb 2018 18:17:54 +0000 (19:17 +0100)]
board/targets/nexys4ddr: use MT47H64M16

6 years agoboards/platforms/nexys4ddr: add user_sw, user_btn, fix ddr3
Florent Kermarrec [Tue, 6 Feb 2018 18:08:46 +0000 (19:08 +0100)]
boards/platforms/nexys4ddr: add user_sw, user_btn, fix ddr3

6 years agoboards: add nexys4ddr
Florent Kermarrec [Tue, 6 Feb 2018 13:43:20 +0000 (14:43 +0100)]
boards: add nexys4ddr

6 years agoMerge pull request #61 from PaulSchulz/master
enjoy-digital [Fri, 26 Jan 2018 00:58:37 +0000 (01:58 +0100)]
Merge pull request #61 from PaulSchulz/master

platform/arty.py: Move Pmod definitions to 'connectors' section.

6 years agoMerge pull request #63 from cr1901/arty_s7
enjoy-digital [Fri, 26 Jan 2018 00:57:50 +0000 (01:57 +0100)]
Merge pull request #63 from cr1901/arty_s7

boards/platforms: Add Arty S7 Board.

6 years agoboards/platforms: Add Arty S7 Board.
William D. Jones [Thu, 25 Jan 2018 23:36:32 +0000 (18:36 -0500)]
boards/platforms: Add Arty S7 Board.

6 years agoMerge branch 'master' of https://github.com/enjoy-digital/litex into upstream
Paul Schulz [Wed, 24 Jan 2018 03:02:42 +0000 (13:32 +1030)]
Merge branch 'master' of https://github.com/enjoy-digital/litex into upstream

6 years agosoftware/common: revert PYTHON to python3 (since breaking things)
Florent Kermarrec [Tue, 23 Jan 2018 09:39:13 +0000 (10:39 +0100)]
software/common: revert PYTHON to python3 (since breaking things)

6 years agobios: fix riscv processor print
Florent Kermarrec [Tue, 23 Jan 2018 09:33:05 +0000 (10:33 +0100)]
bios: fix riscv processor print

6 years agosim: rename top module to dut and use --top-module parameter (needed for picorv32...
Florent Kermarrec [Tue, 23 Jan 2018 09:28:16 +0000 (10:28 +0100)]
sim: rename top module to dut and use --top-module parameter (needed for picorv32 simulation)

6 years agoplatform/arty.py: Move Pmod definitions to 'connectors' section.
Paul Schulz [Tue, 23 Jan 2018 05:41:25 +0000 (16:11 +1030)]
platform/arty.py: Move Pmod definitions to 'connectors' section.

6 years agoSpecify top-level module in Lattice Diemond build script.
Sergiusz Bazanski [Tue, 23 Jan 2018 01:17:04 +0000 (01:17 +0000)]
Specify top-level module in Lattice Diemond build script.

When building multi-source files the toolchain gets confused as to which
module is top-level. This ensures that the build_name of the design is
selected.

6 years agoBuild top module as 'dut' in Verilator and set it as top-level.
Sergiusz Bazanski [Tue, 23 Jan 2018 01:15:28 +0000 (01:15 +0000)]
Build top module as 'dut' in Verilator and set it as top-level.

When building a design with PicoRV32 we end up with multiple top-level
modules and Verilator becomes confused as to which is the right one.
This change ensures the dut.v generated by the sim build process has
it's top-level name set to 'dut' and that verilator is invoked with this
name.

6 years agoMerge pull request #59 from q3k/for-upstream/multiple-synthesis-directives
enjoy-digital [Tue, 23 Jan 2018 00:43:23 +0000 (01:43 +0100)]
Merge pull request #59 from q3k/for-upstream/multiple-synthesis-directives

Allow for multiple synthesis directives in specials.

6 years agoAllow for multiple synthesis directives in specials.
Sergiusz Bazanski [Tue, 23 Jan 2018 00:23:20 +0000 (00:23 +0000)]
Allow for multiple synthesis directives in specials.

This is needed to specify timing constraints on some Lattice Diamond
library specials, like the EHXPLLL.

To keep backwards compatibility we allow the directive to still be a
single string. If it's not, we assume it's an iterable.

6 years agominor cleanup
Florent Kermarrec [Mon, 22 Jan 2018 23:35:20 +0000 (00:35 +0100)]
minor cleanup

6 years agoMerge pull request #58 from q3k/for-upstream/picorv32-support
enjoy-digital [Mon, 22 Jan 2018 21:09:46 +0000 (22:09 +0100)]
Merge pull request #58 from q3k/for-upstream/picorv32-support

Implement IRQ for PicoRV32 on LiteX

6 years agoImplement IRQ software support for RISC-V.
Sergiusz Bazanski [Mon, 22 Jan 2018 18:31:18 +0000 (18:31 +0000)]
Implement IRQ software support for RISC-V.

Well, at least PicoRV32-specific. Turns out there is no RISC-V
specification for simple microcontroller-like interrupts, so PicoRV32
implements its' own based on custom opcodes.

It's somewhat esoteric, and for example doesn't offer a global interrupt
enable/disable. For this we implement a thin wrapper in assembly and
then expose it via a few helpers in irq.h.

6 years agoImport PicoRV32-specific instruction macros.
Sergiusz Bazanski [Mon, 22 Jan 2018 18:35:47 +0000 (18:35 +0000)]
Import PicoRV32-specific instruction macros.

These come from the PicoRV32 repo and are released under the public
domain [1].

[1] - https://github.com/cliffordwolf/picorv32/blob/70f3c33ac8348a46eeca92796721dcf8cbcc326c/firmware/custom_ops.S

6 years agoWrite init files that respect CPU's endianness.
Sergiusz Bazanski [Mon, 22 Jan 2018 18:19:40 +0000 (18:19 +0000)]
Write init files that respect CPU's endianness.

This is required for PicoRV32 support. We also drive-by enable
explicit specification of run= in Builder.build() by callers.

6 years agoSet the MABI and MArch of the riscv target.
Sergiusz Bazanski [Mon, 22 Jan 2018 18:20:42 +0000 (18:20 +0000)]
Set the MABI and MArch of the riscv target.

Again, this should be tunable, and synchronized with the core settings.

6 years agoEnable hardware multiplier and divider in PicoRV32
Sergiusz Bazanski [Mon, 22 Jan 2018 18:15:53 +0000 (18:15 +0000)]
Enable hardware multiplier and divider in PicoRV32

This should become tunable later once we can configure whether we link
in the soft mul library or not.

6 years agoReplace __riscv__ macros with __riscv.
Sergiusz Bazanski [Sun, 21 Jan 2018 21:46:25 +0000 (21:46 +0000)]
Replace __riscv__ macros with __riscv.

The __riscv__ form is deprecated [1].

[1] - https://github.com/riscv/riscv-toolchain-conventions#cc-preprocessor-definitions

6 years agoExport trap signal from PicoRV32.
Sergiusz Bazanski [Sun, 21 Jan 2018 21:46:01 +0000 (21:46 +0000)]
Export trap signal from PicoRV32.

This is useful for handling crashes from hardware.

6 years agoBump PicoRV32 version.
Sergiusz Bazanski [Mon, 22 Jan 2018 18:35:24 +0000 (18:35 +0000)]
Bump PicoRV32 version.

6 years agoBIOS: Flashboot without main ram
Ewen McNeill [Fri, 19 Jan 2018 01:37:53 +0000 (12:37 +1100)]
BIOS: Flashboot without main ram

Modified flashboot() to skip copy to main ram if there is no main
ram, and instead execute in place out of SPI flash.  (For this to
work the linker .ld will also need to redirect references to be
inside the SPI flash mapping.)

6 years agosoftware/bios: add litex logo
Florent Kermarrec [Fri, 19 Jan 2018 17:41:13 +0000 (18:41 +0100)]
software/bios: add litex logo

6 years agoMerge pull request #56 from cr1901/mimasv2
enjoy-digital [Fri, 19 Jan 2018 16:59:47 +0000 (17:59 +0100)]
Merge pull request #56 from cr1901/mimasv2

Add mimasv2 platform (pulled from litex-buildenv).

6 years agoAdd mimasv2 platform (pulled from litex-buildenv).
William D. Jones [Fri, 19 Jan 2018 11:16:04 +0000 (06:16 -0500)]
Add mimasv2 platform (pulled from litex-buildenv).

6 years agoMerge pull request #53 from mithro/allow-forcing-colorama
Tim Ansell [Thu, 18 Jan 2018 05:33:02 +0000 (16:33 +1100)]
Merge pull request #53 from mithro/allow-forcing-colorama

Support forcing colorama colors on.

6 years agoSupport forcing colorama colors on.
Tim 'mithro' Ansell [Thu, 18 Jan 2018 03:41:45 +0000 (14:41 +1100)]
Support forcing colorama colors on.

This is needed if you want colors but are using pipes and similar.

6 years agoMerge pull request #52 from ewen-naos-nz/tftp-alt-port
Tim Ansell [Thu, 18 Jan 2018 02:40:28 +0000 (13:40 +1100)]
Merge pull request #52 from ewen-naos-nz/tftp-alt-port

BIOS: Support alternate TFTP server port

6 years agoBIOS: TFTP: try UDP/69 if specified port fails
Ewen McNeill [Thu, 18 Jan 2018 02:10:28 +0000 (13:10 +1100)]
BIOS: TFTP: try UDP/69 if specified port fails

6 years agoBIOS: set TFTP_SERVER_PORT from enviroment
Ewen McNeill [Thu, 18 Jan 2018 02:09:34 +0000 (13:09 +1100)]
BIOS: set TFTP_SERVER_PORT from enviroment

6 years agoBIOS: allow BIOS to specify TFTP server port
Ewen McNeill [Thu, 18 Jan 2018 01:03:35 +0000 (12:03 +1100)]
BIOS: allow BIOS to specify TFTP server port

Swaps hard coded PORT_OUT in tftp.c for parameter on the tftp_get()
and tftp_put() functions.  Allow TFTP_SERVER_PORT used by BIOS to be
set at compile time from compiler defines.

6 years agoMerge pull request #51 from felixheld/liteeth-untangling
enjoy-digital [Tue, 16 Jan 2018 20:37:24 +0000 (21:37 +0100)]
Merge pull request #51 from felixheld/liteeth-untangling

Include the ethernet related header files conditionally

6 years agoInclude the ethernet related header files conditionally
Felix Held [Tue, 16 Jan 2018 03:33:49 +0000 (14:33 +1100)]
Include the ethernet related header files conditionally

Only including those header files in the litex firmware is the first step to
move the firmware parts of liteeth to the liteeth tree.

6 years agoMerge pull request #49 from mithro/fix-uart-override
Tim Ansell [Sat, 13 Jan 2018 08:12:50 +0000 (19:12 +1100)]
Merge pull request #49 from mithro/fix-uart-override

soc_core: Don't fail if name is the same.

6 years agosoc_core: Don't fail if name is the same.
Tim 'mithro' Ansell [Sat, 13 Jan 2018 08:10:57 +0000 (19:10 +1100)]
soc_core: Don't fail if name is the same.

Otherwise you can't override the UART with another UART, you get an
error like;

```
  File "/home/tansell/github/timvideos/HDMI2USB-litex-firmware/third_party/litex/litex/soc/integration/soc_core.py", line 176, in __init__
    interrupt, mod_name, interrupt_rmap[interrupt]))
AssertionError: Interrupt vector conflict for IRQ 2, user defined uart conflicts with SoC inbuilt uart
```

6 years agoMerge pull request #48 from mithro/fix-constants
Tim Ansell [Sat, 13 Jan 2018 08:07:04 +0000 (19:07 +1100)]
Merge pull request #48 from mithro/fix-constants

cpu_interface: Fix indenting on constant generation.

6 years agocpu_interface: Fix indenting on constant generation.
Tim 'mithro' Ansell [Sat, 13 Jan 2018 08:05:26 +0000 (19:05 +1100)]
cpu_interface: Fix indenting on constant generation.

This was preventing constants from getting added to the csr.h header
file.

6 years agoMerge pull request #47 from felixheld/indentation-fixes
Tim Ansell [Sat, 13 Jan 2018 02:29:29 +0000 (13:29 +1100)]
Merge pull request #47 from felixheld/indentation-fixes

Fix all remaining indentation issues in python code

6 years agoFix all remaining indentation issues in python code
Felix Held [Sat, 13 Jan 2018 02:19:36 +0000 (13:19 +1100)]
Fix all remaining indentation issues in python code

I ran a script that shouldn't have missed any tab in the python source files.

6 years agobios/sdram: make read leveling robust for KUS SDRAM
Chris Ballance [Fri, 12 Jan 2018 18:23:08 +0000 (19:23 +0100)]
bios/sdram: make read leveling robust for KUS SDRAM

Increases the initial delay step into the valid read window as
with the original delay I was not getting out of the noisy
transition window, as evidenced by seeing read delay windows
of only 8 LSB ~10% of the time, leading to failing memory
tests

6 years agoMerge pull request #44 from felixheld/nexys_video-dram-fix
Tim Ansell [Fri, 12 Jan 2018 03:08:03 +0000 (14:08 +1100)]
Merge pull request #44 from felixheld/nexys_video-dram-fix

Fix DDR3 on nexys_video

6 years agoMerge pull request #45 from felixheld/arty-ddr3-fix
Tim Ansell [Fri, 12 Jan 2018 03:07:32 +0000 (14:07 +1100)]
Merge pull request #45 from felixheld/arty-ddr3-fix

fix DDR3 on arty

6 years agofix DDR3 on arty
Felix Held [Fri, 12 Jan 2018 02:54:10 +0000 (13:54 +1100)]
fix DDR3 on arty

6 years agofix DDR3 on nexys_video
Felix Held [Fri, 12 Jan 2018 02:33:13 +0000 (13:33 +1100)]
fix DDR3 on nexys_video

6 years agoMerge pull request #43 from felixheld/programmer-error-fix
enjoy-digital [Thu, 11 Jan 2018 07:21:46 +0000 (08:21 +0100)]
Merge pull request #43 from felixheld/programmer-error-fix

fix the unsupported programmer case for kc705 and minispartan6

6 years agofix the unsupported programmer case for kc705 and minispartan6
Felix Held [Thu, 11 Jan 2018 07:00:59 +0000 (18:00 +1100)]
fix the unsupported programmer case for kc705 and minispartan6

6 years agoMerge pull request #42 from felixheld/requirements-fix
Tim Ansell [Thu, 11 Jan 2018 06:46:21 +0000 (17:46 +1100)]
Merge pull request #42 from felixheld/requirements-fix

add pyserial to the package requirements

6 years agoadd pyserial to the package requirements
Felix Held [Thu, 11 Jan 2018 06:43:16 +0000 (17:43 +1100)]
add pyserial to the package requirements

litex_term requires pyserial

6 years agobuild/xilinx/vivado: only generate constraints that are not empty
Florent Kermarrec [Mon, 8 Jan 2018 16:03:19 +0000 (17:03 +0100)]
build/xilinx/vivado: only generate constraints that are not empty

6 years agobios/sdram: revert capability to do manual read leveling since still needed with...
Florent Kermarrec [Mon, 8 Jan 2018 11:04:33 +0000 (12:04 +0100)]
bios/sdram: revert capability to do manual read leveling since still needed with some targets

6 years agobios/sdram: fix data error reporting
Florent Kermarrec [Mon, 8 Jan 2018 10:43:49 +0000 (11:43 +0100)]
bios/sdram: fix data error reporting

6 years agobump year
Florent Kermarrec [Mon, 8 Jan 2018 10:43:13 +0000 (11:43 +0100)]
bump year

6 years agobuild: add Inverted property to IOs to ease inverting signals and propagate property...
Florent Kermarrec [Sat, 6 Jan 2018 00:33:02 +0000 (01:33 +0100)]
build: add Inverted property to IOs to ease inverting signals and propagate property to cores

6 years agosoc/integration/soc_core: avoid removing uart interrupts (break some designs)
Florent Kermarrec [Sat, 30 Dec 2017 17:41:49 +0000 (18:41 +0100)]
soc/integration/soc_core: avoid removing uart interrupts (break some designs)

6 years agoMerge pull request #40 from mithro/or1k-linux
enjoy-digital [Sat, 30 Dec 2017 10:19:12 +0000 (11:19 +0100)]
Merge pull request #40 from mithro/or1k-linux

cpu: Adding "variant" support.

6 years agoMerge pull request #41 from cr1901/python-3.6
enjoy-digital [Sat, 30 Dec 2017 10:17:41 +0000 (11:17 +0100)]
Merge pull request #41 from cr1901/python-3.6

fhdl/tracer: Import Python 3.5/3.6 version guards from Migen.

6 years agofhdl/tracer: Import Python 3.5/3.6 version guards from Migen.
William D. Jones [Sat, 30 Dec 2017 00:56:52 +0000 (19:56 -0500)]
fhdl/tracer: Import Python 3.5/3.6 version guards from Migen.

6 years agocpu: Adding "variant" support.
Tim 'mithro' Ansell [Tue, 26 Sep 2017 00:01:36 +0000 (10:01 +1000)]
cpu: Adding "variant" support.

It is useful to support slightly different variants of the CPU
configurations. This adds a "cpu_variant" option.

For the mor1k we now have the default mor1k configuration and the
"linux" variant which enables the features needed for Linux support on
the mor1k.

Currently there are no variants for the lm32, but we will likely add a
"tiny" variant for usage on the iCE40.

6 years agoMerge pull request #39 from mithro/master
Tim Ansell [Fri, 29 Dec 2017 22:57:42 +0000 (23:57 +0100)]
Merge pull request #39 from mithro/master

Wait longer before giving up on the 2nd tftp block.

6 years agoWait longer before giving up on the 2nd tftp block.
Greg Darke [Mon, 6 Nov 2017 00:55:26 +0000 (16:55 -0800)]
Wait longer before giving up on the 2nd tftp block.

Previously we would wait the same number of iterations as it took us to
receive the first data block after sending the request. When using the
build in tftp server in qemu, the first wait loop succeeds (and thus
breaks when 'i' is still 0.

Since the counter was never reset between the first and second data
block, under qemu the tftp_get call would fail before ever checking if
we have received the second block of data.

Now that we initialise 'i' to 12M, we ensure that we wait the same
amount of time for the second data block as it previously did for the
third (and subsequent) blocks.

6 years agobios/sdram: use same initialization procedure for artix7 than kintex7 excepting write...
Florent Kermarrec [Fri, 29 Dec 2017 16:13:58 +0000 (17:13 +0100)]
bios/sdram: use same initialization procedure for artix7 than kintex7 excepting write leveling that is not done

6 years agosoc/integration/builder: don't build bios is user is providing rom data
Florent Kermarrec [Thu, 28 Dec 2017 21:42:58 +0000 (22:42 +0100)]
soc/integration/builder: don't build bios is user is providing rom data