yosys.git
4 years agoIn sat: 'x' in init attr should not override constant
Eddie Hung [Thu, 22 Aug 2019 23:42:19 +0000 (16:42 -0700)]
In sat: 'x' in init attr should not override constant

4 years agoRemove Xilinx test
Eddie Hung [Thu, 22 Aug 2019 23:18:07 +0000 (16:18 -0700)]
Remove Xilinx test

4 years agoActually, there might not be any harm in updating sigmap...
Eddie Hung [Fri, 21 Jun 2019 00:03:05 +0000 (17:03 -0700)]
Actually, there might not be any harm in updating sigmap...

4 years agoAdd comment as per @cliffordwolf
Eddie Hung [Thu, 20 Jun 2019 23:57:54 +0000 (16:57 -0700)]
Add comment as per @cliffordwolf

4 years agoAdd shregmap -tech xilinx test
Eddie Hung [Wed, 12 Jun 2019 15:34:06 +0000 (08:34 -0700)]
Add shregmap -tech xilinx test

4 years agoRevert "Try way that doesn't involve creating a new wire"
Eddie Hung [Tue, 11 Jun 2019 23:05:42 +0000 (16:05 -0700)]
Revert "Try way that doesn't involve creating a new wire"

This reverts commit 2f427acc9ed23c77e89386f4fbf53ac580bf0f0b.

4 years agoTry way that doesn't involve creating a new wire
Eddie Hung [Tue, 11 Jun 2019 22:48:20 +0000 (15:48 -0700)]
Try way that doesn't involve creating a new wire

4 years agoIf d_bit already in sigbit_chain_next, create extra wire
Eddie Hung [Mon, 10 Jun 2019 23:16:40 +0000 (16:16 -0700)]
If d_bit already in sigbit_chain_next, create extra wire

4 years agoAdd doc
Eddie Hung [Thu, 22 Aug 2019 18:52:24 +0000 (11:52 -0700)]
Add doc

4 years agoAdd copyright
Eddie Hung [Thu, 22 Aug 2019 18:25:19 +0000 (11:25 -0700)]
Add copyright

4 years agoAdd CHANGELOG entry
Eddie Hung [Thu, 22 Aug 2019 18:22:53 +0000 (11:22 -0700)]
Add CHANGELOG entry

4 years agoRemove `shregmap -tech xilinx` additions
Eddie Hung [Thu, 22 Aug 2019 18:22:09 +0000 (11:22 -0700)]
Remove `shregmap -tech xilinx` additions

4 years agopmgen to also iterate over all module ports
Eddie Hung [Thu, 22 Aug 2019 18:15:16 +0000 (11:15 -0700)]
pmgen to also iterate over all module ports

4 years agoRemove output_bits
Eddie Hung [Thu, 22 Aug 2019 18:14:59 +0000 (11:14 -0700)]
Remove output_bits

4 years agoForgot to set ud_variable.minlen
Eddie Hung [Thu, 22 Aug 2019 18:02:17 +0000 (11:02 -0700)]
Forgot to set ud_variable.minlen

4 years agoDo not run xilinx_srl_pm in fixed loop
Eddie Hung [Thu, 22 Aug 2019 17:51:04 +0000 (10:51 -0700)]
Do not run xilinx_srl_pm in fixed loop

4 years agoMerge remote-tracking branch 'origin/master' into eddie/xilinx_srl
Eddie Hung [Thu, 22 Aug 2019 17:32:54 +0000 (10:32 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/xilinx_srl

4 years agoMerge remote-tracking branch 'origin/master' into eddie/xilinx_srl
Eddie Hung [Thu, 22 Aug 2019 17:32:06 +0000 (10:32 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/xilinx_srl

4 years agoMerge pull request #1317 from YosysHQ/eddie/opt_expr_shiftx
Eddie Hung [Thu, 22 Aug 2019 17:31:27 +0000 (10:31 -0700)]
Merge pull request #1317 from YosysHQ/eddie/opt_expr_shiftx

 opt_expr to trim A port of $shiftx/$shift

4 years agoBump year in copyright notice
Clifford Wolf [Thu, 22 Aug 2019 16:43:16 +0000 (18:43 +0200)]
Bump year in copyright notice

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoFix missing newline at end of file
Clifford Wolf [Thu, 22 Aug 2019 16:09:37 +0000 (18:09 +0200)]
Fix missing newline at end of file

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1289 from mmicko/anlogic_fixes
Clifford Wolf [Thu, 22 Aug 2019 16:09:10 +0000 (18:09 +0200)]
Merge pull request #1289 from mmicko/anlogic_fixes

Anlogic fixes and optimization

4 years agoFix missing newline at end of file
Clifford Wolf [Thu, 22 Aug 2019 16:06:36 +0000 (18:06 +0200)]
Fix missing newline at end of file

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1281 from mmicko/efinix
Clifford Wolf [Thu, 22 Aug 2019 16:06:02 +0000 (18:06 +0200)]
Merge pull request #1281 from mmicko/efinix

Initial support for Efinix Trion series FPGAs

4 years agoCopy-paste typo
Eddie Hung [Thu, 22 Aug 2019 15:43:44 +0000 (08:43 -0700)]
Copy-paste typo

4 years agoRespect opt_expr -keepdc as per @cliffordwolf
Eddie Hung [Thu, 22 Aug 2019 15:37:27 +0000 (08:37 -0700)]
Respect opt_expr -keepdc as per @cliffordwolf

4 years agoHandle $shift and Y_WIDTH > 1 as per @cliffordwolf
Eddie Hung [Thu, 22 Aug 2019 15:22:23 +0000 (08:22 -0700)]
Handle $shift and Y_WIDTH > 1 as per @cliffordwolf

4 years agoAdd cover()
Eddie Hung [Thu, 22 Aug 2019 15:06:24 +0000 (08:06 -0700)]
Add cover()

4 years agoCanonical form
Eddie Hung [Thu, 22 Aug 2019 15:05:01 +0000 (08:05 -0700)]
Canonical form

4 years agoMerge pull request #1316 from YosysHQ/eddie/fix_mem2reg
Clifford Wolf [Thu, 22 Aug 2019 08:24:42 +0000 (10:24 +0200)]
Merge pull request #1316 from YosysHQ/eddie/fix_mem2reg

mem2reg to preserve user attributes and src

4 years agoAdd test
Eddie Hung [Thu, 22 Aug 2019 04:58:20 +0000 (21:58 -0700)]
Add test

4 years agoopt_expr to trim A port of $shiftx if Y_WIDTH == 1
Eddie Hung [Thu, 22 Aug 2019 02:18:05 +0000 (19:18 -0700)]
opt_expr to trim A port of $shiftx if Y_WIDTH == 1

5 years agoReuse var
Eddie Hung [Thu, 22 Aug 2019 02:18:40 +0000 (19:18 -0700)]
Reuse var

5 years agoRevert "Trim shiftx_width when upper bits are 1'bx"
Eddie Hung [Thu, 22 Aug 2019 02:18:27 +0000 (19:18 -0700)]
Revert "Trim shiftx_width when upper bits are 1'bx"

This reverts commit 7e7965ca7b3bbeb79cb70014da7bc48c08a74adb.

5 years agoopt_expr to trim A port of $shiftx if Y_WIDTH == 1
Eddie Hung [Thu, 22 Aug 2019 02:18:05 +0000 (19:18 -0700)]
opt_expr to trim A port of $shiftx if Y_WIDTH == 1

5 years agoTrim shiftx_width when upper bits are 1'bx
Eddie Hung [Thu, 22 Aug 2019 01:43:17 +0000 (18:43 -0700)]
Trim shiftx_width when upper bits are 1'bx

5 years agoAdd comment
Eddie Hung [Thu, 22 Aug 2019 00:36:38 +0000 (17:36 -0700)]
Add comment

5 years agoAdd variable length support to xilinx_srl
Eddie Hung [Thu, 22 Aug 2019 00:34:40 +0000 (17:34 -0700)]
Add variable length support to xilinx_srl

5 years agoRename pattern to fixed
Eddie Hung [Wed, 21 Aug 2019 22:46:58 +0000 (15:46 -0700)]
Rename pattern to fixed

5 years agoattribute -> attr
Eddie Hung [Wed, 21 Aug 2019 22:44:07 +0000 (15:44 -0700)]
attribute -> attr

5 years agoUse Cell::has_keep_attribute()
Eddie Hung [Wed, 21 Aug 2019 22:41:46 +0000 (15:41 -0700)]
Use Cell::has_keep_attribute()

5 years agoabc9 to perform new 'map_ffs' before 'map_luts'
Eddie Hung [Wed, 21 Aug 2019 22:37:55 +0000 (15:37 -0700)]
abc9 to perform new 'map_ffs' before 'map_luts'

5 years agoxilinx_srl to support FDRE and FDRE_1
Eddie Hung [Wed, 21 Aug 2019 22:35:29 +0000 (15:35 -0700)]
xilinx_srl to support FDRE and FDRE_1

5 years agoFix polarity of EN_POL
Eddie Hung [Wed, 21 Aug 2019 21:42:11 +0000 (14:42 -0700)]
Fix polarity of EN_POL

5 years agoMerge pull request #1315 from mmicko/fix_dependencies
whitequark [Wed, 21 Aug 2019 21:40:31 +0000 (21:40 +0000)]
Merge pull request #1315 from mmicko/fix_dependencies

Fix test_pmgen deps

5 years agoAdd CLKPOL == 0
Eddie Hung [Wed, 21 Aug 2019 21:35:40 +0000 (14:35 -0700)]
Add CLKPOL == 0

5 years agoReject if not minlen from inside pattern matcher
Eddie Hung [Wed, 21 Aug 2019 21:26:24 +0000 (14:26 -0700)]
Reject if not minlen from inside pattern matcher

5 years agoGet wire via SigBit
Eddie Hung [Wed, 21 Aug 2019 20:47:47 +0000 (13:47 -0700)]
Get wire via SigBit

5 years agoRespect \keep on cells or wires
Eddie Hung [Wed, 21 Aug 2019 20:42:03 +0000 (13:42 -0700)]
Respect \keep on cells or wires

5 years agoMerge branch 'eddie/fix_mem2reg' into eddie/xilinx_srl
Eddie Hung [Wed, 21 Aug 2019 20:37:45 +0000 (13:37 -0700)]
Merge branch 'eddie/fix_mem2reg' into eddie/xilinx_srl

5 years agomem2reg to preserve user attributes and src
Eddie Hung [Wed, 21 Aug 2019 20:36:01 +0000 (13:36 -0700)]
mem2reg to preserve user attributes and src

5 years agoAdd init support
Eddie Hung [Wed, 21 Aug 2019 20:05:10 +0000 (13:05 -0700)]
Add init support

5 years agoFix spacing
Eddie Hung [Wed, 21 Aug 2019 19:54:11 +0000 (12:54 -0700)]
Fix spacing

5 years agoInitial progress on xilinx_srl
Eddie Hung [Wed, 21 Aug 2019 19:50:49 +0000 (12:50 -0700)]
Initial progress on xilinx_srl

5 years agoFix test_pmgen deps
Miodrag Milanovic [Wed, 21 Aug 2019 15:00:24 +0000 (17:00 +0200)]
Fix test_pmgen deps

5 years agoMerge pull request #1314 from YosysHQ/eddie/fix_techmap
Clifford Wolf [Wed, 21 Aug 2019 07:12:56 +0000 (09:12 +0200)]
Merge pull request #1314 from YosysHQ/eddie/fix_techmap

techmap -max_iter to apply to each module individually

5 years agoMissing newline
Eddie Hung [Wed, 21 Aug 2019 03:37:52 +0000 (20:37 -0700)]
Missing newline

5 years agoFix copy-paste typo
Eddie Hung [Wed, 21 Aug 2019 03:18:51 +0000 (20:18 -0700)]
Fix copy-paste typo

5 years agoGrammar
Eddie Hung [Wed, 21 Aug 2019 03:05:51 +0000 (20:05 -0700)]
Grammar

5 years agoAdd test
Eddie Hung [Wed, 21 Aug 2019 03:05:16 +0000 (20:05 -0700)]
Add test

5 years agotechmap -max_iter to apply to each module individually
Eddie Hung [Wed, 21 Aug 2019 02:48:16 +0000 (19:48 -0700)]
techmap -max_iter to apply to each module individually

5 years agoMerge pull request #1209 from YosysHQ/eddie/synth_xilinx
Eddie Hung [Tue, 20 Aug 2019 19:55:26 +0000 (12:55 -0700)]
Merge pull request #1209 from YosysHQ/eddie/synth_xilinx

[WIP] synth xilinx renaming, as per #1184

5 years agoMerge pull request #1304 from YosysHQ/eddie/abc9_refactor
Eddie Hung [Tue, 20 Aug 2019 18:59:31 +0000 (11:59 -0700)]
Merge pull request #1304 from YosysHQ/eddie/abc9_refactor

Refactor abc9 to use port attributes, not module attributes

5 years agoMerge remote-tracking branch 'origin/master' into eddie/synth_xilinx
Eddie Hung [Tue, 20 Aug 2019 18:57:52 +0000 (11:57 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx

5 years agoMerge pull request #1298 from YosysHQ/clifford/pmgen
Clifford Wolf [Tue, 20 Aug 2019 09:39:42 +0000 (11:39 +0200)]
Merge pull request #1298 from YosysHQ/clifford/pmgen

Improvements in pmgen

5 years agoMerge branch 'master' into clifford/pmgen
Clifford Wolf [Tue, 20 Aug 2019 09:39:23 +0000 (11:39 +0200)]
Merge branch 'master' into clifford/pmgen

5 years agoAdd test case for real parameters
Clifford Wolf [Tue, 20 Aug 2019 09:38:21 +0000 (11:38 +0200)]
Add test case for real parameters

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1308 from jakobwenzel/real_params
Clifford Wolf [Tue, 20 Aug 2019 09:37:26 +0000 (11:37 +0200)]
Merge pull request #1308 from jakobwenzel/real_params

Handle real values when deriving ast modules

5 years agoMerge pull request #1309 from whitequark/proc_clean-fix-1268
whitequark [Tue, 20 Aug 2019 00:45:41 +0000 (00:45 +0000)]
Merge pull request #1309 from whitequark/proc_clean-fix-1268

proc_clean: fix order of switch insertion

5 years agoFix typo
Eddie Hung [Mon, 19 Aug 2019 17:42:00 +0000 (10:42 -0700)]
Fix typo

5 years agoFix typo
Eddie Hung [Mon, 19 Aug 2019 17:41:18 +0000 (10:41 -0700)]
Fix typo

5 years agoID({A,B,Y}) -> ID::{A,B,Y} for opt_share.cc
Eddie Hung [Mon, 19 Aug 2019 17:11:47 +0000 (10:11 -0700)]
ID({A,B,Y}) -> ID::{A,B,Y} for opt_share.cc

5 years agoClarify with 'only'
Eddie Hung [Mon, 19 Aug 2019 17:00:53 +0000 (10:00 -0700)]
Clarify with 'only'

5 years agoUpdate doc
Eddie Hung [Mon, 19 Aug 2019 16:59:57 +0000 (09:59 -0700)]
Update doc

5 years agoUnify abc_carry_{in,out} into abc_carry and use port dir, as @mithro
Eddie Hung [Mon, 19 Aug 2019 16:56:17 +0000 (09:56 -0700)]
Unify abc_carry_{in,out} into abc_carry and use port dir, as @mithro

5 years agoproc_clean: fix order of switch insertion.
whitequark [Mon, 19 Aug 2019 16:44:23 +0000 (16:44 +0000)]
proc_clean: fix order of switch insertion.

Fixes #1268.

5 years agohandle real values when deriving ast modules
Jakob Wenzel [Mon, 19 Aug 2019 12:17:36 +0000 (14:17 +0200)]
handle real values when deriving ast modules

5 years agoMerge pull request #1306 from mmicko/gitignore_fix
Clifford Wolf [Mon, 19 Aug 2019 11:09:12 +0000 (13:09 +0200)]
Merge pull request #1306 from mmicko/gitignore_fix

Ignore all generated headers for pmgen pass

5 years agoAdd *.sv to tests/simple_abc9/.gitignore
Clifford Wolf [Mon, 19 Aug 2019 11:04:57 +0000 (13:04 +0200)]
Add *.sv to tests/simple_abc9/.gitignore

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'master' of github.com:YosysHQ/yosys into clifford/pmgen
Clifford Wolf [Mon, 19 Aug 2019 11:04:06 +0000 (13:04 +0200)]
Merge branch 'master' of github.com:YosysHQ/yosys into clifford/pmgen

5 years agoMerge pull request #1305 from YosysHQ/clifford/testfast
Clifford Wolf [Mon, 19 Aug 2019 10:58:09 +0000 (12:58 +0200)]
Merge pull request #1305 from YosysHQ/clifford/testfast

Speed up "make test" and related cleanups

5 years agoMerge remote-tracking branch 'origin/master' into clifford/testfast
Eddie Hung [Mon, 19 Aug 2019 04:29:15 +0000 (21:29 -0700)]
Merge remote-tracking branch 'origin/master' into clifford/testfast

5 years agoRemoval of more `stat` calls from tests
Eddie Hung [Mon, 19 Aug 2019 04:28:45 +0000 (21:28 -0700)]
Removal of more `stat` calls from tests

5 years agoMerge remote-tracking branch 'upstream/master' into anlogic_fixes
Miodrag Milanovic [Sun, 18 Aug 2019 09:47:46 +0000 (11:47 +0200)]
Merge remote-tracking branch 'upstream/master' into anlogic_fixes

5 years agoIgnore all generated headers for pmgen pass
Miodrag Milanovic [Sun, 18 Aug 2019 08:49:17 +0000 (10:49 +0200)]
Ignore all generated headers for pmgen pass

5 years agoMerge pull request #1290 from YosysHQ/eddie/pr1266_again
whitequark [Sun, 18 Aug 2019 08:04:26 +0000 (08:04 +0000)]
Merge pull request #1290 from YosysHQ/eddie/pr1266_again

Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER (retry)

5 years agoMerge branch 'master' into eddie/pr1266_again
whitequark [Sun, 18 Aug 2019 08:04:10 +0000 (08:04 +0000)]
Merge branch 'master' into eddie/pr1266_again

5 years agoMerge pull request #1283 from YosysHQ/clifford/fix1255
Clifford Wolf [Sat, 17 Aug 2019 13:07:16 +0000 (15:07 +0200)]
Merge pull request #1283 from YosysHQ/clifford/fix1255

Fix various NDEBUG compiler warnings

5 years agoMerge pull request #1303 from YosysHQ/bogdanvuk/opt_share
Clifford Wolf [Sat, 17 Aug 2019 13:03:46 +0000 (15:03 +0200)]
Merge pull request #1303 from YosysHQ/bogdanvuk/opt_share

Implement opt_share from @bogdanvuk

5 years agoMerge pull request #1300 from YosysHQ/eddie/cleanup2
Clifford Wolf [Sat, 17 Aug 2019 13:01:31 +0000 (15:01 +0200)]
Merge pull request #1300 from YosysHQ/eddie/cleanup2

Use ID::{A,B,Y,keep,blackbox,whitebox} instead of ID()

5 years agoFix erroneous ifndef-NDEBUG in verific.cc
Clifford Wolf [Sat, 17 Aug 2019 12:47:02 +0000 (14:47 +0200)]
Fix erroneous ifndef-NDEBUG in verific.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSpeed up "make test" and related cleanups
Clifford Wolf [Sat, 17 Aug 2019 12:37:07 +0000 (14:37 +0200)]
Speed up "make test" and related cleanups

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd test for pmtest_test "reduce" demo pattern
Clifford Wolf [Sat, 17 Aug 2019 12:05:10 +0000 (14:05 +0200)]
Add test for pmtest_test "reduce" demo pattern

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRefactor pmgen rollback mechanism
Clifford Wolf [Sat, 17 Aug 2019 11:54:18 +0000 (13:54 +0200)]
Refactor pmgen rollback mechanism

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprovements in "test_pmgen -generate"
Clifford Wolf [Sat, 17 Aug 2019 11:53:55 +0000 (13:53 +0200)]
Improvements in "test_pmgen -generate"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd pmgen "fallthrough" statement
Clifford Wolf [Sat, 17 Aug 2019 09:29:37 +0000 (11:29 +0200)]
Add pmgen "fallthrough" statement

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUse ID()
Eddie Hung [Fri, 16 Aug 2019 23:38:49 +0000 (16:38 -0700)]
Use ID()

5 years agoAdd doc for abc_* attributes
Eddie Hung [Fri, 16 Aug 2019 23:07:29 +0000 (16:07 -0700)]
Add doc for abc_* attributes

5 years agoUpdate abc_* attr in ecp5 and ice40
Eddie Hung [Fri, 16 Aug 2019 22:56:57 +0000 (15:56 -0700)]
Update abc_* attr in ecp5 and ice40

5 years agoCompute abc_scc_break and move CI/CO outside of each abc9
Eddie Hung [Fri, 16 Aug 2019 22:41:17 +0000 (15:41 -0700)]
Compute abc_scc_break and move CI/CO outside of each abc9