yosys.git
5 years agoStop topological sort at abc_flop_q
Eddie Hung [Wed, 17 Apr 2019 19:28:19 +0000 (12:28 -0700)]
Stop topological sort at abc_flop_q

5 years agoMark seq output ports with "abc_flop_q" attr
Eddie Hung [Wed, 17 Apr 2019 19:27:45 +0000 (12:27 -0700)]
Mark seq output ports with "abc_flop_q" attr

5 years agoAlso update Makefile.inc
Eddie Hung [Wed, 17 Apr 2019 19:27:02 +0000 (12:27 -0700)]
Also update Makefile.inc

5 years agosynth_ice40 to use renamed files
Eddie Hung [Wed, 17 Apr 2019 19:22:03 +0000 (12:22 -0700)]
synth_ice40 to use renamed files

5 years agoRename to abc.*
Eddie Hung [Wed, 17 Apr 2019 19:15:34 +0000 (12:15 -0700)]
Rename to abc.*

5 years agoRevert "Try using an ICE40_CARRY_LUT primitive to avoid ABC issues"
Eddie Hung [Wed, 17 Apr 2019 18:10:20 +0000 (11:10 -0700)]
Revert "Try using an ICE40_CARRY_LUT primitive to avoid ABC issues"

This reverts commit a7632ab3326c5247b8152a53808413b259c13253.

5 years agoTry using an ICE40_CARRY_LUT primitive to avoid ABC issues
Eddie Hung [Wed, 17 Apr 2019 18:10:04 +0000 (11:10 -0700)]
Try using an ICE40_CARRY_LUT primitive to avoid ABC issues

5 years agoRemove init* from xaiger, also topo-sort cells for box flow
Eddie Hung [Wed, 17 Apr 2019 18:08:42 +0000 (11:08 -0700)]
Remove init* from xaiger, also topo-sort cells for box flow

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Wed, 17 Apr 2019 18:01:15 +0000 (11:01 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoIgnore a/i/o/h XAIGER extensions
Eddie Hung [Wed, 17 Apr 2019 17:55:23 +0000 (10:55 -0700)]
Ignore a/i/o/h XAIGER extensions

5 years agoFix spacing
Eddie Hung [Wed, 17 Apr 2019 15:40:50 +0000 (08:40 -0700)]
Fix spacing

5 years agoUpdate to ABC d1b6413
Clifford Wolf [Wed, 17 Apr 2019 11:51:34 +0000 (13:51 +0200)]
Update to ABC d1b6413

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoOptimise
Eddie Hung [Wed, 17 Apr 2019 04:05:44 +0000 (21:05 -0700)]
Optimise

5 years agoAdd SB_LUT4 to box library
Eddie Hung [Wed, 17 Apr 2019 00:34:11 +0000 (17:34 -0700)]
Add SB_LUT4 to box library

5 years agoAdd ice40 box files
Eddie Hung [Tue, 16 Apr 2019 23:39:30 +0000 (16:39 -0700)]
Add ice40 box files

5 years agoabc9 to output some more info
Eddie Hung [Tue, 16 Apr 2019 23:39:16 +0000 (16:39 -0700)]
abc9 to output some more info

5 years agoCIs before PIs; also sort each cell's connections before iterating
Eddie Hung [Tue, 16 Apr 2019 23:37:47 +0000 (16:37 -0700)]
CIs before PIs; also sort each cell's connections before iterating

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 16 Apr 2019 22:04:20 +0000 (15:04 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoPort from xc7mux branch
Eddie Hung [Tue, 16 Apr 2019 22:01:45 +0000 (15:01 -0700)]
Port from xc7mux branch

5 years agoRe-enable partsel.v test
Eddie Hung [Tue, 16 Apr 2019 20:10:35 +0000 (13:10 -0700)]
Re-enable partsel.v test

5 years agoabc9 to call "setundef -zero" behaving as for abc
Eddie Hung [Tue, 16 Apr 2019 20:10:13 +0000 (13:10 -0700)]
abc9 to call "setundef -zero" behaving as for abc

5 years agoMerge pull request #939 from YosysHQ/revert895
Eddie Hung [Tue, 16 Apr 2019 18:59:21 +0000 (11:59 -0700)]
Merge pull request #939 from YosysHQ/revert895

Revert #895 (mux-to-shiftx optimisation)

5 years agoRevert #895
Eddie Hung [Tue, 16 Apr 2019 18:07:51 +0000 (11:07 -0700)]
Revert #895

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 16 Apr 2019 04:56:45 +0000 (21:56 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch
Eddie Hung [Tue, 16 Apr 2019 01:39:20 +0000 (18:39 -0700)]
Merge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch

Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoRevert "Recognise default entry in case even if all cases covered (fix for #931)"
Eddie Hung [Tue, 16 Apr 2019 00:52:45 +0000 (17:52 -0700)]
Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoMerge pull request #936 from YosysHQ/README-fix-quotes
Eddie Hung [Mon, 15 Apr 2019 19:22:05 +0000 (12:22 -0700)]
Merge pull request #936 from YosysHQ/README-fix-quotes

README: fix some incorrect quoting

5 years agoREADME: fix some incorrect quoting.
whitequark [Mon, 15 Apr 2019 14:29:46 +0000 (14:29 +0000)]
README: fix some incorrect quoting.

5 years agoGoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flow
Diego [Sat, 13 Apr 2019 04:40:02 +0000 (23:40 -0500)]
GoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flow

5 years agoForgot backslashes
Eddie Hung [Sat, 13 Apr 2019 01:22:44 +0000 (18:22 -0700)]
Forgot backslashes

5 years agoHandle __dummy_o__ and __const[01]__ in read_aiger not abc
Eddie Hung [Sat, 13 Apr 2019 01:21:16 +0000 (18:21 -0700)]
Handle __dummy_o__ and __const[01]__ in read_aiger not abc

5 years agoabc to ignore __dummy_o__ and __const[01]__ when re-integrating
Eddie Hung [Sat, 13 Apr 2019 01:16:50 +0000 (18:16 -0700)]
abc to ignore __dummy_o__ and __const[01]__ when re-integrating

5 years agoOutput __const0__ and __const1__ CIs
Eddie Hung [Sat, 13 Apr 2019 01:16:25 +0000 (18:16 -0700)]
Output __const0__ and __const1__ CIs

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Sat, 13 Apr 2019 00:09:24 +0000 (17:09 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoFix inout handling for -map option
Eddie Hung [Sat, 13 Apr 2019 00:02:24 +0000 (17:02 -0700)]
Fix inout handling for -map option

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Fri, 12 Apr 2019 23:31:12 +0000 (16:31 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Fri, 12 Apr 2019 23:30:53 +0000 (16:30 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoUse -map instead of -symbols for aiger
Eddie Hung [Fri, 12 Apr 2019 23:29:14 +0000 (16:29 -0700)]
Use -map instead of -symbols for aiger

5 years agoci_bits and co_bits now a list, order is important for ABC
Eddie Hung [Fri, 12 Apr 2019 23:17:48 +0000 (16:17 -0700)]
ci_bits and co_bits now a list, order is important for ABC

5 years agoAlso cope with duplicated CIs
Eddie Hung [Fri, 12 Apr 2019 23:17:12 +0000 (16:17 -0700)]
Also cope with duplicated CIs

5 years agoWIP
Eddie Hung [Fri, 12 Apr 2019 21:13:11 +0000 (14:13 -0700)]
WIP

5 years agoComment out
Eddie Hung [Tue, 9 Apr 2019 17:09:43 +0000 (10:09 -0700)]
Comment out

5 years agoAdd support for synth_xilinx -abc9 and ignore abc9 -dress opt
Eddie Hung [Tue, 9 Apr 2019 17:06:44 +0000 (10:06 -0700)]
Add support for synth_xilinx -abc9 and ignore abc9 -dress opt

5 years agoCope with an output having same name as an input (i.e. CO)
Eddie Hung [Fri, 12 Apr 2019 19:27:07 +0000 (12:27 -0700)]
Cope with an output having same name as an input (i.e. CO)

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Fri, 12 Apr 2019 19:21:48 +0000 (12:21 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #928 from litghost/add_xc7_sim_models
Eddie Hung [Fri, 12 Apr 2019 18:52:45 +0000 (11:52 -0700)]
Merge pull request #928 from litghost/add_xc7_sim_models

Add additional cells sim models for core 7-series primitives.

5 years agoRemove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.
Keith Rothman [Fri, 12 Apr 2019 16:30:49 +0000 (09:30 -0700)]
Remove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agoMerge pull request #933 from dh73/master
Clifford Wolf [Fri, 12 Apr 2019 12:57:36 +0000 (14:57 +0200)]
Merge pull request #933 from dh73/master

Fixing issues in CycloneV cell sim

5 years agoMerge pull request #932 from YosysHQ/eddie/fixdlatch
Clifford Wolf [Fri, 12 Apr 2019 12:57:01 +0000 (14:57 +0200)]
Merge pull request #932 from YosysHQ/eddie/fixdlatch

Recognise default entry in case even if all cases covered (fix for #931)

5 years agoFixing issues in CycloneV cell sim
Diego [Fri, 12 Apr 2019 00:59:03 +0000 (19:59 -0500)]
Fixing issues in CycloneV cell sim

5 years agoAdd default entry to testcase
Eddie Hung [Thu, 11 Apr 2019 22:03:40 +0000 (15:03 -0700)]
Add default entry to testcase

5 years agoRecognise default entry in case even if all cases covered (#931)
Eddie Hung [Thu, 11 Apr 2019 19:34:51 +0000 (12:34 -0700)]
Recognise default entry in case even if all cases covered (#931)

5 years agoAdd non-input bits driven by unrecognised cells as ci_bits
Eddie Hung [Thu, 11 Apr 2019 01:06:33 +0000 (18:06 -0700)]
Add non-input bits driven by unrecognised cells as ci_bits

5 years agoparse_aiger() to rename all $lut cells after "clean"
Eddie Hung [Wed, 10 Apr 2019 21:02:23 +0000 (14:02 -0700)]
parse_aiger() to rename all $lut cells after "clean"

5 years agosynth_* with -retime option now calls abc with -D 1 as well
Eddie Hung [Wed, 10 Apr 2019 15:32:53 +0000 (08:32 -0700)]
synth_* with -retime option now calls abc with -D 1 as well

5 years agoRevert "abc -dff now implies "-D 0" otherwise retiming doesn't happen"
Eddie Hung [Wed, 10 Apr 2019 15:31:40 +0000 (08:31 -0700)]
Revert "abc -dff now implies "-D 0" otherwise retiming doesn't happen"

This reverts commit 19271bd996a79cb4be1db658fcf18227ee0a1dff.

5 years agoRevert ""&nf -D 0" fails => use "-D 1" instead"
Eddie Hung [Wed, 10 Apr 2019 15:31:35 +0000 (08:31 -0700)]
Revert ""&nf -D 0" fails => use "-D 1" instead"

This reverts commit 3c253818cab2013dc4db55732d3e21cfa0dc3f19.

5 years agoMerge remote-tracking branch 'origin/master' into eddie/fix_retime
Eddie Hung [Wed, 10 Apr 2019 15:23:00 +0000 (08:23 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/fix_retime

5 years agoFix LUT6_2 definition.
Keith Rothman [Tue, 9 Apr 2019 18:43:19 +0000 (11:43 -0700)]
Fix LUT6_2 definition.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agosupport repeat loops with constant repeat counts outside of constant functions
Zachary Snow [Tue, 9 Apr 2019 16:28:32 +0000 (12:28 -0400)]
support repeat loops with constant repeat counts outside of constant functions

5 years agoAdd additional cells sim models for core 7-series primatives.
Keith Rothman [Tue, 9 Apr 2019 16:01:53 +0000 (09:01 -0700)]
Add additional cells sim models for core 7-series primatives.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agoFix a few typos
Eddie Hung [Mon, 8 Apr 2019 23:46:33 +0000 (16:46 -0700)]
Fix a few typos

5 years agoMore space fixing
Eddie Hung [Mon, 8 Apr 2019 23:40:17 +0000 (16:40 -0700)]
More space fixing

5 years agoFix spacing
Eddie Hung [Mon, 8 Apr 2019 23:37:22 +0000 (16:37 -0700)]
Fix spacing

5 years agoMerge branch 'master' into xaig
Eddie Hung [Mon, 8 Apr 2019 23:31:59 +0000 (16:31 -0700)]
Merge branch 'master' into xaig

5 years agoMerge pull request #919 from YosysHQ/multiport_transp
Clifford Wolf [Mon, 8 Apr 2019 19:14:05 +0000 (21:14 +0200)]
Merge pull request #919 from YosysHQ/multiport_transp

memory_bram: Fix multiport make_transp

5 years agomemory_bram: Fix multiport make_transp
David Shah [Sun, 7 Apr 2019 15:56:31 +0000 (16:56 +0100)]
memory_bram: Fix multiport make_transp

Signed-off-by: David Shah <dave@ds0.me>
5 years agoSuppress error from the compiler run during libboost-python* detection
Benedikt Tutzer [Sun, 7 Apr 2019 08:11:35 +0000 (10:11 +0200)]
Suppress error from the compiler run during libboost-python* detection

5 years agoAdd retime test
Eddie Hung [Fri, 5 Apr 2019 23:28:46 +0000 (16:28 -0700)]
Add retime test

5 years agoFix S0 -> S1
Eddie Hung [Fri, 5 Apr 2019 23:28:14 +0000 (16:28 -0700)]
Fix S0 -> S1

5 years agoMove techamp t:$_DFF_?N? to before abc call
Eddie Hung [Fri, 5 Apr 2019 22:39:05 +0000 (15:39 -0700)]
Move techamp t:$_DFF_?N? to before abc call

5 years agoRetry
Eddie Hung [Fri, 5 Apr 2019 22:31:54 +0000 (15:31 -0700)]
Retry

5 years ago"&nf -D 0" fails => use "-D 1" instead
Eddie Hung [Fri, 5 Apr 2019 22:30:19 +0000 (15:30 -0700)]
"&nf -D 0" fails => use "-D 1" instead

5 years agoResolve @daveshah1 comment, update synth_xilinx help
Eddie Hung [Fri, 5 Apr 2019 22:15:13 +0000 (15:15 -0700)]
Resolve @daveshah1 comment, update synth_xilinx help

5 years agosynth_xilinx to techmap FFs after abc call, otherwise -retime fails
Eddie Hung [Fri, 5 Apr 2019 21:43:06 +0000 (14:43 -0700)]
synth_xilinx to techmap FFs after abc call, otherwise -retime fails

5 years agoabc -dff now implies "-D 0" otherwise retiming doesn't happen
Eddie Hung [Fri, 5 Apr 2019 21:42:25 +0000 (14:42 -0700)]
abc -dff now implies "-D 0" otherwise retiming doesn't happen

5 years agoAdd "read_ilang -lib"
Clifford Wolf [Fri, 5 Apr 2019 15:31:49 +0000 (17:31 +0200)]
Add "read_ilang -lib"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAutodetect Python paths and boost python libraries for different distributions
Benedikt Tutzer [Fri, 5 Apr 2019 09:56:01 +0000 (11:56 +0200)]
Autodetect Python paths and boost python libraries for different distributions

5 years agoAdded missing argument checking to "mutate" command
Clifford Wolf [Thu, 4 Apr 2019 16:10:10 +0000 (18:10 +0200)]
Added missing argument checking to "mutate" command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMissing techmap entry in help
Eddie Hung [Thu, 4 Apr 2019 15:13:10 +0000 (08:13 -0700)]
Missing techmap entry in help

5 years agosynth_xilinx to map_cells before map_luts
Eddie Hung [Thu, 4 Apr 2019 14:48:13 +0000 (07:48 -0700)]
synth_xilinx to map_cells before map_luts

5 years agoUsed PyImport_ImportModule instead of PyImport_Import to avoid the explicit conversio...
Benedikt Tutzer [Thu, 4 Apr 2019 08:35:01 +0000 (10:35 +0200)]
Used PyImport_ImportModule instead of PyImport_Import to avoid the explicit conversion to a python string

5 years agoRemoved link to experimental filesystem library
Benedikt Tutzer [Thu, 4 Apr 2019 07:51:14 +0000 (09:51 +0200)]
Removed link to experimental filesystem library

5 years agoChanged filesystem dependency to boost instead of experimental std library
Benedikt Tutzer [Thu, 4 Apr 2019 07:24:50 +0000 (09:24 +0200)]
Changed filesystem dependency to boost instead of experimental std library

5 years agoRemoved compiler flags that are clang specific
Benedikt Tutzer [Wed, 3 Apr 2019 14:19:47 +0000 (16:19 +0200)]
Removed compiler flags that are clang specific

5 years agoEven less options for the preprocessor
Benedikt Tutzer [Wed, 3 Apr 2019 13:34:31 +0000 (15:34 +0200)]
Even less options for the preprocessor

5 years agoMerge pull request #913 from smunaut/fix_proc_mux
Eddie Hung [Wed, 3 Apr 2019 13:27:41 +0000 (06:27 -0700)]
Merge pull request #913 from smunaut/fix_proc_mux

proc_mux: Fix crash when trying to optimize non-existant mux to shiftx

5 years agoPreprocessing does not need all the flags
Benedikt Tutzer [Wed, 3 Apr 2019 13:13:58 +0000 (15:13 +0200)]
Preprocessing does not need all the flags

5 years agoproc_mux: Fix crash when trying to optimize non-existant mux to shiftx
Sylvain Munaut [Wed, 3 Apr 2019 12:50:12 +0000 (14:50 +0200)]
proc_mux: Fix crash when trying to optimize non-existant mux to shiftx

last_mux_cell can be NULL ...

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
5 years agoGlobal lists in rtlil.cc are now static objects
Benedikt Tutzer [Wed, 3 Apr 2019 12:27:39 +0000 (14:27 +0200)]
Global lists in rtlil.cc are now static objects

5 years agoAdded cross-platform support for plugin-paths
Benedikt Tutzer [Wed, 3 Apr 2019 11:21:40 +0000 (13:21 +0200)]
Added cross-platform support for plugin-paths

5 years agoImproved Error reporting when Python passes are loaded
Benedikt Tutzer [Wed, 3 Apr 2019 10:21:56 +0000 (12:21 +0200)]
Improved Error reporting when Python passes are loaded

5 years agoAdded support for changing Yosys namespace
Benedikt Tutzer [Wed, 3 Apr 2019 10:21:21 +0000 (12:21 +0200)]
Added support for changing Yosys namespace

5 years agoAdded cell_stats example
Benedikt Tutzer [Wed, 3 Apr 2019 09:24:50 +0000 (11:24 +0200)]
Added cell_stats example

5 years agoAdded dependencies to README and travis configuration
Benedikt Tutzer [Wed, 3 Apr 2019 09:18:34 +0000 (11:18 +0200)]
Added dependencies to README and travis configuration

5 years agoAutodetect highest installed python version
Benedikt Tutzer [Wed, 3 Apr 2019 09:17:50 +0000 (11:17 +0200)]
Autodetect highest installed python version

5 years agoMerge pull request #912 from YosysHQ/bram_addr_en
Clifford Wolf [Wed, 3 Apr 2019 08:00:18 +0000 (10:00 +0200)]
Merge pull request #912 from YosysHQ/bram_addr_en

memory_bram: Consider read enable for address expansion register

5 years agoMerge pull request #910 from ucb-bar/memupdates
Clifford Wolf [Wed, 3 Apr 2019 07:59:11 +0000 (09:59 +0200)]
Merge pull request #910 from ucb-bar/memupdates

Refine memory support to deal with general Verilog memory definitions.

5 years agomemory_bram: Consider read enable for address expansion register
David Shah [Tue, 2 Apr 2019 18:47:50 +0000 (19:47 +0100)]
memory_bram: Consider read enable for address expansion register

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMake nobram false by default for gowin
Miodrag Milanovic [Tue, 2 Apr 2019 17:21:01 +0000 (19:21 +0200)]
Make nobram false by default for gowin