yosys.git
2 years agosynth_gatemate: Apply review remarks
Patrick Urban [Fri, 24 Sep 2021 14:00:59 +0000 (16:00 +0200)]
synth_gatemate: Apply review remarks

* remove unused techmap models in `map_regs.v`
* replace RAM initilization loops with 320-bit-writes
* add script to test targets in top-level Makefile
* remove `MAXWIDTH` parameter and treat both vector widths individually in `mult_map.v`
* iterate over all modules in `gatemate_bramopt` pass

2 years agosynth_gatemate: Apply review remarks
Patrick Urban [Tue, 14 Sep 2021 13:10:32 +0000 (15:10 +0200)]
synth_gatemate: Apply review remarks

2 years agosynth_gatemate: Initial implementation
Patrick Urban [Mon, 13 Sep 2021 15:16:15 +0000 (17:16 +0200)]
synth_gatemate: Initial implementation

Signed-off-by: Patrick Urban <patrick.urban@web.de>
2 years agoBump version
github-actions[bot] [Sat, 13 Nov 2021 00:52:01 +0000 (00:52 +0000)]
Bump version

2 years agoshow: Fix wire bit indexing.
Marcelina Kościelnicka [Fri, 12 Nov 2021 10:55:47 +0000 (11:55 +0100)]
show: Fix wire bit indexing.

Fixes #3078.

2 years agoupdate abc
Miodrag Milanovic [Fri, 12 Nov 2021 11:40:24 +0000 (12:40 +0100)]
update abc

2 years agoUpdate abc
Miodrag Milanovic [Fri, 12 Nov 2021 08:00:32 +0000 (09:00 +0100)]
Update abc

2 years agoBump version
github-actions[bot] [Thu, 11 Nov 2021 00:54:18 +0000 (00:54 +0000)]
Bump version

2 years agoMerge pull request #3075 from YosysHQ/micko/verific_mem_size
Claire Xen [Wed, 10 Nov 2021 19:24:00 +0000 (20:24 +0100)]
Merge pull request #3075 from YosysHQ/micko/verific_mem_size

No need to allocate more memory than used

2 years agoMerge pull request #3077 from YosysHQ/claire/genlib
Claire Xen [Wed, 10 Nov 2021 19:02:34 +0000 (20:02 +0100)]
Merge pull request #3077 from YosysHQ/claire/genlib

Add genlib support to ABC command

2 years agoSpelling fix in abc.cc
Claire Xen [Wed, 10 Nov 2021 15:47:54 +0000 (16:47 +0100)]
Spelling fix in abc.cc

2 years agoAdd genlib support to ABC command
Claire Xenia Wolf [Wed, 10 Nov 2021 15:40:47 +0000 (16:40 +0100)]
Add genlib support to ABC command

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoiopadmap: Fix ebmarassing typo
Marcelina Kościelnicka [Wed, 10 Nov 2021 13:55:28 +0000 (14:55 +0100)]
iopadmap: Fix ebmarassing typo

2 years agoNo need to alocate more memory than used
Miodrag Milanovic [Wed, 10 Nov 2021 09:50:44 +0000 (10:50 +0100)]
No need to alocate more memory than used

2 years agoBump version
github-actions[bot] [Wed, 10 Nov 2021 00:54:39 +0000 (00:54 +0000)]
Bump version

2 years agogenrtlil: Fix displaying debug info in packages
Kamil Rakoczy [Wed, 20 Oct 2021 07:07:22 +0000 (09:07 +0200)]
genrtlil: Fix displaying debug info in packages

Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>
2 years agoiopadmap: Add native support for negative-polarity output enable.
Marcelina Kościelnicka [Tue, 9 Nov 2021 10:22:48 +0000 (11:22 +0100)]
iopadmap: Add native support for negative-polarity output enable.

2 years agoBump version
github-actions[bot] [Tue, 9 Nov 2021 00:53:27 +0000 (00:53 +0000)]
Bump version

2 years agoUpdate CODEOWNERS
Miodrag Milanović [Mon, 8 Nov 2021 15:59:45 +0000 (16:59 +0100)]
Update CODEOWNERS

2 years agoLimit macOS GH actions
Miodrag Milanović [Mon, 8 Nov 2021 15:56:24 +0000 (16:56 +0100)]
Limit macOS GH actions

2 years agoBump version
github-actions[bot] [Mon, 8 Nov 2021 00:53:20 +0000 (00:53 +0000)]
Bump version

2 years agosynth_gowin: move splitnets to after iopadmap (#2435)
Pepijn de Vos [Sun, 7 Nov 2021 17:00:18 +0000 (18:00 +0100)]
synth_gowin: move splitnets to after iopadmap (#2435)

2 years agomanual: fix pdflatex inputenc undefined char error
Gabriel Somlo [Sun, 7 Nov 2021 00:08:56 +0000 (20:08 -0400)]
manual: fix pdflatex inputenc undefined char error

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
2 years agoRemove noalu from synth_gowin json output as Apicula now supports it
Pepijn de Vos [Sat, 6 Nov 2021 16:14:12 +0000 (17:14 +0100)]
Remove noalu from synth_gowin json output as Apicula now supports it

2 years agoBump version
github-actions[bot] [Sun, 7 Nov 2021 00:54:38 +0000 (00:54 +0000)]
Bump version

2 years agogowin: widelut support (#3042)
Pepijn de Vos [Sat, 6 Nov 2021 15:09:30 +0000 (16:09 +0100)]
gowin: widelut support (#3042)

2 years agoBump version
github-actions[bot] [Sat, 6 Nov 2021 00:51:08 +0000 (00:51 +0000)]
Bump version

2 years agoNext dev cycle
Miodrag Milanovic [Fri, 5 Nov 2021 11:52:24 +0000 (12:52 +0100)]
Next dev cycle

2 years agoRelease version 0.11 yosys-0.11
Miodrag Milanovic [Fri, 5 Nov 2021 11:47:38 +0000 (12:47 +0100)]
Release version 0.11

2 years agoMust use latest flex to generate c++17 compatible code
Miodrag Milanovic [Fri, 5 Nov 2021 10:41:51 +0000 (11:41 +0100)]
Must use latest flex to generate c++17 compatible code

2 years agoMake it work on all
Miodrag Milanovic [Fri, 5 Nov 2021 09:51:58 +0000 (10:51 +0100)]
Make it work on all

2 years agoCorrect way of setting maybe_unsused on labels
Miodrag Milanovic [Fri, 5 Nov 2021 09:36:15 +0000 (10:36 +0100)]
Correct way of setting maybe_unsused on labels

2 years agoAdd missing changelog item
Miodrag Milanovic [Fri, 5 Nov 2021 09:08:50 +0000 (10:08 +0100)]
Add missing changelog item

2 years agoUpdate command reference
Miodrag Milanovic [Fri, 5 Nov 2021 09:04:15 +0000 (10:04 +0100)]
Update command reference

2 years agoMerge pull request #3067 from YosysHQ/aki/ci_update
Miodrag Milanović [Fri, 5 Nov 2021 08:58:35 +0000 (09:58 +0100)]
Merge pull request #3067 from YosysHQ/aki/ci_update

Update the Linux and macOS CI jobs

2 years agoRemoved semicolon from macro
Miodrag Milanovic [Fri, 5 Nov 2021 08:57:37 +0000 (09:57 +0100)]
Removed semicolon from macro

2 years agoBump version
github-actions[bot] [Wed, 3 Nov 2021 00:52:24 +0000 (00:52 +0000)]
Bump version

2 years agoflatten: Keep sigmap around between flatten_cell invocations.
Marcelina Kościelnicka [Tue, 2 Nov 2021 11:38:28 +0000 (12:38 +0100)]
flatten: Keep sigmap around between flatten_cell invocations.

Fixes #3064.

2 years agoBump version
github-actions[bot] [Tue, 2 Nov 2021 00:56:31 +0000 (00:56 +0000)]
Bump version

2 years agoMerge pull request #3068 from YosysHQ/claire/verific_cfg
Claire Xen [Mon, 1 Nov 2021 11:53:47 +0000 (12:53 +0100)]
Merge pull request #3068 from YosysHQ/claire/verific_cfg

Add "verific -cfg" command

2 years agoAdd "verific -cfg" command
Claire Xenia Wolf [Mon, 1 Nov 2021 09:41:51 +0000 (10:41 +0100)]
Add "verific -cfg" command

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoBump version
github-actions[bot] [Mon, 1 Nov 2021 01:05:04 +0000 (01:05 +0000)]
Bump version

2 years agoci: removed the old `test.yml` workflow, as it was replaced by `test-linux.yml` and...
Aki Van Ness [Thu, 28 Oct 2021 07:23:03 +0000 (03:23 -0400)]
ci: removed the old `test.yml` workflow, as it was replaced by `test-linux.yml` and `test-macos.yml`

2 years agoci: expanded the macOS tests suite to cover more compilers and C++ versions
Aki Van Ness [Thu, 28 Oct 2021 01:43:51 +0000 (21:43 -0400)]
ci: expanded the macOS tests suite to cover more compilers and C++ versions

2 years agoci: expanded the Linux test suite to cover more compilers and C++ versions
Aki Van Ness [Wed, 27 Oct 2021 23:18:16 +0000 (19:18 -0400)]
ci: expanded the Linux test suite to cover more compilers and C++ versions

2 years agoChanged the Makefile to have an explicit `CXXSTD` parameter which allows for the...
Aki Van Ness [Thu, 28 Oct 2021 00:02:33 +0000 (20:02 -0400)]
Changed the Makefile to have an explicit `CXXSTD` parameter which allows for the setting of other C++ standards, the default is `c++11`

2 years agoMerge pull request #3066 from YosysHQ/claire/verific_gclk
Claire Xen [Sun, 31 Oct 2021 17:04:54 +0000 (18:04 +0100)]
Merge pull request #3066 from YosysHQ/claire/verific_gclk

Fix verific gclk handling for async-load FFs

2 years agoFix verific gclk handling for async-load FFs
Claire Xenia Wolf [Sun, 31 Oct 2021 16:12:29 +0000 (17:12 +0100)]
Fix verific gclk handling for async-load FFs

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoBump version
github-actions[bot] [Sat, 30 Oct 2021 00:51:07 +0000 (00:51 +0000)]
Bump version

2 years agoAdd missing items in CHANGELOG
Miodrag Milanovic [Fri, 29 Oct 2021 11:31:41 +0000 (13:31 +0200)]
Add missing items in CHANGELOG

2 years agoUpdate command reference part of manual
Miodrag Milanovic [Fri, 29 Oct 2021 11:10:50 +0000 (13:10 +0200)]
Update command reference part of manual

2 years agoBump version
github-actions[bot] [Thu, 28 Oct 2021 00:52:35 +0000 (00:52 +0000)]
Bump version

2 years agoMerge pull request #3063 from YosysHQ/micko/verific_aldff
Miodrag Milanović [Wed, 27 Oct 2021 15:20:31 +0000 (17:20 +0200)]
Merge pull request #3063 from YosysHQ/micko/verific_aldff

Enable async load dff emit by default in Verific

2 years agoecp5: Add support for mapping aldff.
Marcelina Kościelnicka [Wed, 27 Oct 2021 12:04:21 +0000 (14:04 +0200)]
ecp5: Add support for mapping aldff.

2 years agoEnable async load dff emit by default in Verific
Miodrag Milanovic [Wed, 27 Oct 2021 13:56:56 +0000 (15:56 +0200)]
Enable async load dff emit by default in Verific

2 years agoRevert "Compile option for enabling async load verific support"
Miodrag Milanovic [Wed, 27 Oct 2021 13:55:43 +0000 (15:55 +0200)]
Revert "Compile option for enabling async load verific support"

This reverts commit b8624ad2aef941776f5b4a08f66f8d43e70f8467.

2 years agoproc_dff: Emit $aldff.
Marcelina Kościelnicka [Sat, 2 Oct 2021 00:34:13 +0000 (02:34 +0200)]
proc_dff: Emit $aldff.

2 years agodfflegalize: Add tests for aldff lowering.
Marcelina Kościelnicka [Wed, 27 Oct 2021 11:37:26 +0000 (13:37 +0200)]
dfflegalize: Add tests for aldff lowering.

2 years agodfflegalize: Add tests targetting aldff.
Marcelina Kościelnicka [Wed, 27 Oct 2021 11:14:34 +0000 (13:14 +0200)]
dfflegalize: Add tests targetting aldff.

2 years agodfflegalize: Refactor, add aldff support.
Marcelina Kościelnicka [Wed, 27 Oct 2021 08:14:07 +0000 (10:14 +0200)]
dfflegalize: Refactor, add aldff support.

2 years agoBump version
github-actions[bot] [Wed, 27 Oct 2021 00:51:44 +0000 (00:51 +0000)]
Bump version

2 years agoverilog: use derived module info to elaborate cell connections
Zachary Snow [Wed, 20 Oct 2021 00:46:26 +0000 (18:46 -0600)]
verilog: use derived module info to elaborate cell connections

- Attempt to lookup a derived module if it potentially contains a port
  connection with elaboration ambiguities
- Mark the cell if module has not yet been derived
- This can be extended to implement automatic hierarchical port
  connections in a future change

2 years agoSplit out logic for reprocessing an AstModule
Rupert Swarbrick [Wed, 20 Oct 2021 00:43:30 +0000 (18:43 -0600)]
Split out logic for reprocessing an AstModule

This will enable other features to use same core logic for replacing an
existing AstModule with a newly elaborated version.

2 years agoBump version
github-actions[bot] [Tue, 26 Oct 2021 00:51:59 +0000 (00:51 +0000)]
Bump version

2 years agoCompile option for enabling async load verific support
Miodrag Milanovic [Mon, 25 Oct 2021 07:04:43 +0000 (09:04 +0200)]
Compile option for enabling async load verific support

2 years agoBump version
github-actions[bot] [Fri, 22 Oct 2021 01:00:39 +0000 (01:00 +0000)]
Bump version

2 years agoChange implicit conversions from bool to Sig* to explicit.
Marcelina Kościelnicka [Thu, 21 Oct 2021 16:26:47 +0000 (18:26 +0200)]
Change implicit conversions from bool to Sig* to explicit.

Also fixes some completely broken code in extract_reduce.

2 years agoMerge pull request #3057 from YosysHQ/claire/verific_latches
Claire Xen [Thu, 21 Oct 2021 11:00:53 +0000 (13:00 +0200)]
Merge pull request #3057 from YosysHQ/claire/verific_latches

Initial Verific impoter support for {PRIM,WIDE_OPER}_DLATCH{,RS}

2 years agoFix verific.cc PRIM_DLATCH handling
Claire Xenia Wolf [Thu, 21 Oct 2021 10:13:35 +0000 (12:13 +0200)]
Fix verific.cc PRIM_DLATCH handling

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoInitial Verific impoter support for {PRIM,WIDE_OPER}_DLATCH{,RS}
Claire Xenia Wolf [Thu, 21 Oct 2021 03:42:47 +0000 (05:42 +0200)]
Initial Verific impoter support for {PRIM,WIDE_OPER}_DLATCH{,RS}

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoextract_reduce: Refactor and fix input signal construction.
Marcelina Kościelnicka [Thu, 21 Oct 2021 00:58:10 +0000 (02:58 +0200)]
extract_reduce: Refactor and fix input signal construction.

Fixes #3047.

2 years agoBump version
github-actions[bot] [Thu, 21 Oct 2021 00:59:29 +0000 (00:59 +0000)]
Bump version

2 years agoIf verific have vhdl lib it is required by other libs
Miodrag Milanovic [Wed, 20 Oct 2021 11:08:08 +0000 (13:08 +0200)]
If verific have vhdl lib it is required by other libs

2 years agoForgot to remove from main list
Miodrag Milanovic [Wed, 20 Oct 2021 10:37:22 +0000 (12:37 +0200)]
Forgot to remove from main list

2 years agoOption to disable verific VHDL support
Miodrag Milanovic [Wed, 20 Oct 2021 08:02:58 +0000 (10:02 +0200)]
Option to disable verific VHDL support

2 years agoBump version
github-actions[bot] [Wed, 20 Oct 2021 00:56:49 +0000 (00:56 +0000)]
Bump version

2 years agoFixed Verific parser error in ice40 cell library
Claire Xenia Wolf [Tue, 19 Oct 2021 10:33:01 +0000 (12:33 +0200)]
Fixed Verific parser error in ice40 cell library

non-net output port 'Q' cannot be initialized at declaration in SystemVerilog mode

2 years agoMerge pull request #3045 from galibert/master
Miodrag Milanović [Tue, 19 Oct 2021 09:23:57 +0000 (11:23 +0200)]
Merge pull request #3045 from galibert/master

CycloneV: Add (passthrough) support for cyclonev_hps_interface_mpu_general_purpose

2 years agoFixes in vcdcd.pl for newer Perl versions
Claire Xenia Wolf [Tue, 19 Oct 2021 08:56:43 +0000 (10:56 +0200)]
Fixes in vcdcd.pl for newer Perl versions

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoBump version
github-actions[bot] [Mon, 18 Oct 2021 00:56:23 +0000 (00:56 +0000)]
Bump version

2 years agodfflegalize: remove redundant check for initialized dlatch
Paul Annesley [Sun, 17 Oct 2021 01:56:32 +0000 (12:56 +1100)]
dfflegalize: remove redundant check for initialized dlatch

This if condition is repeated verbatim, and I can't imagine a legitimate
way the inputs could change in between. I imagine it's a copy/paste
mistake.

2 years agoCycloneV: Add (passthrough) support for cyclonev_oscillator
Olivier Galibert [Sun, 17 Oct 2021 18:00:03 +0000 (20:00 +0200)]
CycloneV: Add (passthrough) support for cyclonev_oscillator

2 years agoCycloneV: Add (passthrough) support for cyclonev_hps_interface_mpu_general_purpose
Olivier Galibert [Thu, 14 Oct 2021 14:56:10 +0000 (16:56 +0200)]
CycloneV: Add (passthrough) support for cyclonev_hps_interface_mpu_general_purpose

2 years agoBump version
github-actions[bot] [Sat, 16 Oct 2021 00:58:22 +0000 (00:58 +0000)]
Bump version

2 years agoMerge pull request #3044 from YosysHQ/micko/verific_bufif1
Claire Xen [Fri, 15 Oct 2021 14:43:25 +0000 (16:43 +0200)]
Merge pull request #3044 from YosysHQ/micko/verific_bufif1

Support PRIM_BUFIF1 primitive, fixes #2981

2 years agoSupport PRIM_BUFIF1 primitive
Miodrag Milanovic [Thu, 14 Oct 2021 11:04:32 +0000 (13:04 +0200)]
Support PRIM_BUFIF1 primitive

2 years agoBump version
github-actions[bot] [Tue, 12 Oct 2021 00:57:44 +0000 (00:57 +0000)]
Bump version

2 years agoMerge pull request #3039 from YosysHQ/claire/verific_aldff
Claire Xen [Mon, 11 Oct 2021 08:01:56 +0000 (10:01 +0200)]
Merge pull request #3039 from YosysHQ/claire/verific_aldff

Add support for $aldff flip-flops to verific importer

2 years agoAdd Verific adffe/dffsre/aldffe FIXMEs
Claire Xenia Wolf [Mon, 11 Oct 2021 08:00:20 +0000 (10:00 +0200)]
Add Verific adffe/dffsre/aldffe FIXMEs

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoMerge pull request #3040 from YosysHQ/micko/split_module_ports
Claire Xen [Mon, 11 Oct 2021 07:56:05 +0000 (09:56 +0200)]
Merge pull request #3040 from YosysHQ/micko/split_module_ports

Split module ports, 20 per line

2 years agoMerge pull request #3041 from YosysHQ/mmicko/module_attr
Claire Xen [Mon, 11 Oct 2021 07:54:28 +0000 (09:54 +0200)]
Merge pull request #3041 from YosysHQ/mmicko/module_attr

Import module attributes from Verific

2 years agoImport module attributes from Verific
Miodrag Milanovic [Sun, 10 Oct 2021 08:01:45 +0000 (10:01 +0200)]
Import module attributes from Verific

2 years agoSplit module ports, 20 per line
Miodrag Milanovic [Sat, 9 Oct 2021 11:40:55 +0000 (13:40 +0200)]
Split module ports, 20 per line

2 years agoBump version
github-actions[bot] [Sat, 9 Oct 2021 00:51:28 +0000 (00:51 +0000)]
Bump version

2 years agoFixes and add comments for open FIXME items
Claire Xenia Wolf [Fri, 8 Oct 2021 15:24:45 +0000 (17:24 +0200)]
Fixes and add comments for open FIXME items

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoAdd support for $aldff flip-flops to verific importer
Claire Xenia Wolf [Fri, 8 Oct 2021 14:21:25 +0000 (16:21 +0200)]
Add support for $aldff flip-flops to verific importer

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoFix a regression from #3035.
Marcelina Kościelnicka [Fri, 8 Oct 2021 12:51:57 +0000 (14:51 +0200)]
Fix a regression from #3035.

2 years agoBump version
github-actions[bot] [Fri, 8 Oct 2021 00:57:28 +0000 (00:57 +0000)]
Bump version

2 years agoFfData: some refactoring.
Marcelina Kościelnicka [Wed, 6 Oct 2021 20:16:55 +0000 (22:16 +0200)]
FfData: some refactoring.

- FfData now keeps track of the module and underlying cell, if any (so
  calling emit on FfData created from a cell will replace the existing cell)
- FfData implementation is split off to its own .cc file for faster
  compilation
- the "flip FF data sense by inserting inverters in front and after"
  functionality that zinit uses is moved onto FfData class and beefed up
  to have dffsr support, to support more use cases

2 years agoBump version
github-actions[bot] [Tue, 5 Oct 2021 00:53:24 +0000 (00:53 +0000)]
Bump version