yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Mon, 2 Sep 2019 19:13:44 +0000 (12:13 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Mon, 2 Sep 2019 19:13:33 +0000 (12:13 -0700)]
Merge branch 'master' of github.com:YosysHQ/yosys

5 years agoRecognise built-in types (e.g. $_DFF_*)
Eddie Hung [Sat, 31 Aug 2019 03:15:09 +0000 (20:15 -0700)]
Recognise built-in types (e.g. $_DFF_*)

5 years agoMerge pull request #1344 from YosysHQ/eddie/ice40_signed_macc
Eddie Hung [Sun, 1 Sep 2019 17:11:33 +0000 (10:11 -0700)]
Merge pull request #1344 from YosysHQ/eddie/ice40_signed_macc

ice40_dsp to allow signed multipliers

5 years agoMerge pull request #1347 from mmicko/fix_select_error_msg
Clifford Wolf [Sun, 1 Sep 2019 11:30:57 +0000 (13:30 +0200)]
Merge pull request #1347 from mmicko/fix_select_error_msg

Fix select command error msg, fixes issue #1081

5 years agoMerge pull request #1346 from mmicko/fix_ecp5_cells_sim
David Shah [Sun, 1 Sep 2019 09:01:27 +0000 (10:01 +0100)]
Merge pull request #1346 from mmicko/fix_ecp5_cells_sim

Fix TRELLIS_FF simulation model

5 years agoFix select command error msg, fixes issue #1081
Miodrag Milanovic [Sun, 1 Sep 2019 09:00:09 +0000 (11:00 +0200)]
Fix select command error msg, fixes issue #1081

5 years agoFix TRELLIS_FF simulation model
Miodrag Milanovic [Sat, 31 Aug 2019 09:12:06 +0000 (11:12 +0200)]
Fix TRELLIS_FF simulation model

5 years agoecp5_gsr: Fix typo
David Shah [Sat, 31 Aug 2019 08:58:46 +0000 (09:58 +0100)]
ecp5_gsr: Fix typo

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMake abc9 test a bit more interesting
Eddie Hung [Sat, 31 Aug 2019 03:31:53 +0000 (20:31 -0700)]
Make abc9 test a bit more interesting

5 years agoRecognise built-in types (e.g. $_DFF_*)
Eddie Hung [Sat, 31 Aug 2019 03:15:09 +0000 (20:15 -0700)]
Recognise built-in types (e.g. $_DFF_*)

5 years agoRemove trailing space
Eddie Hung [Fri, 30 Aug 2019 23:44:11 +0000 (16:44 -0700)]
Remove trailing space

5 years agoMissing dep for test_pmgen
Eddie Hung [Fri, 30 Aug 2019 21:00:40 +0000 (14:00 -0700)]
Missing dep for test_pmgen

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Fri, 30 Aug 2019 19:28:35 +0000 (12:28 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
Eddie Hung [Fri, 30 Aug 2019 19:27:09 +0000 (12:27 -0700)]
Merge pull request #1340 from YosysHQ/eddie/abc_no_clean

abc9 to not call "clean" at end of run (often called outside)

5 years agoImprove tests/ice40/macc.ys for SB_MAC16
Eddie Hung [Fri, 30 Aug 2019 19:22:59 +0000 (12:22 -0700)]
Improve tests/ice40/macc.ys for SB_MAC16

5 years agoDo not restrict multiplier to unsigned
Eddie Hung [Fri, 30 Aug 2019 19:22:14 +0000 (12:22 -0700)]
Do not restrict multiplier to unsigned

5 years agoMerge pull request #1310 from SergeyDegtyar/master
Eddie Hung [Fri, 30 Aug 2019 17:54:22 +0000 (10:54 -0700)]
Merge pull request #1310 from SergeyDegtyar/master

Add new tests for ice40 architecture

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Fri, 30 Aug 2019 17:32:58 +0000 (10:32 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
Eddie Hung [Fri, 30 Aug 2019 17:32:03 +0000 (10:32 -0700)]
Merge pull request #1321 from YosysHQ/eddie/xilinx_srl

xilinx_srl pass for shift register extraction

5 years agoMerge branch 'eddie/xilinx_srl' into xaig_arrival
Eddie Hung [Fri, 30 Aug 2019 17:30:54 +0000 (10:30 -0700)]
Merge branch 'eddie/xilinx_srl' into xaig_arrival

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Fri, 30 Aug 2019 17:30:46 +0000 (10:30 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoFormat `-pwires`
Eddie Hung [Fri, 30 Aug 2019 17:27:07 +0000 (10:27 -0700)]
Format `-pwires`

5 years agoMerge remote-tracking branch 'origin/master' into eddie/xilinx_srl
Eddie Hung [Fri, 30 Aug 2019 16:37:32 +0000 (09:37 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/xilinx_srl

5 years agomacc test fix
SergeyDegtyar [Fri, 30 Aug 2019 13:01:36 +0000 (16:01 +0300)]
macc test fix

5 years agoMerge pull request #1343 from whitequark/diamond-ffs
David Shah [Fri, 30 Aug 2019 12:28:21 +0000 (13:28 +0100)]
Merge pull request #1343 from whitequark/diamond-ffs

Add/update every Diamond FF primitive

5 years agoecp5: Add simulation equivalence check for Diamond FF implementations
David Shah [Fri, 30 Aug 2019 12:25:55 +0000 (13:25 +0100)]
ecp5: Add simulation equivalence check for Diamond FF implementations

Signed-off-by: David Shah <dave@ds0.me>
5 years agoFix macc test
SergeyDegtyar [Fri, 30 Aug 2019 12:22:46 +0000 (15:22 +0300)]
Fix macc test

5 years agodiv_mod test fix
SergeyDegtyar [Fri, 30 Aug 2019 11:17:03 +0000 (14:17 +0300)]
div_mod test fix

5 years agofix div_mod test
SergeyDegtyar [Fri, 30 Aug 2019 10:22:11 +0000 (13:22 +0300)]
fix div_mod test

5 years agoecp5: deduplicate Diamond FD/IFS/OFS/IO primitives.
whitequark [Fri, 30 Aug 2019 10:05:09 +0000 (10:05 +0000)]
ecp5: deduplicate Diamond FD/IFS/OFS/IO primitives.

5 years agoecp5: allow (and enable by default) GSR on FD/IFS/OFS primitives.
whitequark [Fri, 30 Aug 2019 09:56:19 +0000 (09:56 +0000)]
ecp5: allow (and enable by default) GSR on FD/IFS/OFS primitives.

5 years agoecp5: add missing FD primitives.
whitequark [Fri, 30 Aug 2019 09:54:48 +0000 (09:54 +0000)]
ecp5: add missing FD primitives.

5 years agoecp5: fix CEMUX on IFS/OFS primitives.
whitequark [Fri, 30 Aug 2019 09:42:33 +0000 (09:42 +0000)]
ecp5: fix CEMUX on IFS/OFS primitives.

5 years agoFix test for counter
SergeyDegtyar [Fri, 30 Aug 2019 09:38:28 +0000 (12:38 +0300)]
Fix test for counter

5 years agoMerge branch 'master' into master
Sergey [Fri, 30 Aug 2019 07:29:47 +0000 (10:29 +0300)]
Merge branch 'master' into master

5 years agoAdd new tests.
SergeyDegtyar [Fri, 30 Aug 2019 06:45:33 +0000 (09:45 +0300)]
Add new tests.

5 years agoRemove unnecessary common.v(assertions for testbenches).
SergeyDegtyar [Fri, 30 Aug 2019 06:17:32 +0000 (09:17 +0300)]
Remove unnecessary common.v(assertions for testbenches).

5 years agoRemove simulation from run-test.sh (unnecessary paths)
SergeyDegtyar [Fri, 30 Aug 2019 06:11:03 +0000 (09:11 +0300)]
Remove simulation from run-test.sh (unnecessary paths)

5 years agoRemove simulation from run-test.sh
SergeyDegtyar [Fri, 30 Aug 2019 05:53:35 +0000 (08:53 +0300)]
Remove simulation from run-test.sh

5 years agoMerge pull request #1337 from YosysHQ/eddie/fix_carry_wrapper
Eddie Hung [Fri, 30 Aug 2019 05:10:45 +0000 (22:10 -0700)]
Merge pull request #1337 from YosysHQ/eddie/fix_carry_wrapper

Fix $__ICE40_CARRY_WRAPPER, restore abc9 functionality

5 years agoNicer formatting
Eddie Hung [Fri, 30 Aug 2019 00:24:48 +0000 (17:24 -0700)]
Nicer formatting

5 years agoparse_xaiger() to do "clean -purge"
Eddie Hung [Fri, 30 Aug 2019 00:24:25 +0000 (17:24 -0700)]
parse_xaiger() to do "clean -purge"

5 years agoOutput has priority over input when stitching in abc9
Eddie Hung [Fri, 30 Aug 2019 00:24:03 +0000 (17:24 -0700)]
Output has priority over input when stitching in abc9

5 years agoGroup abc_* attribute doc with other attributes
Eddie Hung [Thu, 29 Aug 2019 19:13:52 +0000 (12:13 -0700)]
Group abc_* attribute doc with other attributes

5 years agoabc9 to not call "clean" at end of run (often called outside)
Eddie Hung [Thu, 29 Aug 2019 19:12:59 +0000 (12:12 -0700)]
abc9 to not call "clean" at end of run (often called outside)

5 years agoMerge pull request #2 from YosysHQ/master
Sergey [Thu, 29 Aug 2019 18:09:40 +0000 (21:09 +0300)]
Merge pull request #2 from YosysHQ/master

Pull from upstream

5 years agoMerge pull request #3 from YosysHQ/Sergey/tests_ice40
Sergey [Thu, 29 Aug 2019 18:07:34 +0000 (21:07 +0300)]
Merge pull request #3 from YosysHQ/Sergey/tests_ice40

Merge my changes to tests_ice40 branch

5 years agoFix typo that's gone unnoticed for 5 months!?!
Eddie Hung [Thu, 29 Aug 2019 17:33:28 +0000 (10:33 -0700)]
Fix typo that's gone unnoticed for 5 months!?!

5 years agoRename boxes too
Eddie Hung [Thu, 29 Aug 2019 14:03:32 +0000 (07:03 -0700)]
Rename boxes too

5 years agoBump YOSYS_VER
Clifford Wolf [Thu, 29 Aug 2019 10:05:26 +0000 (12:05 +0200)]
Bump YOSYS_VER

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd comments for examples from Lattice user guide
SergeyDegtyar [Thu, 29 Aug 2019 07:49:46 +0000 (10:49 +0300)]
Add comments for examples from Lattice user guide

5 years agoUse a dummy box file if none specified
Eddie Hung [Thu, 29 Aug 2019 03:58:55 +0000 (20:58 -0700)]
Use a dummy box file if none specified

5 years agoComment out SB_MAC16 arrival time for now, need to handle all its modes
Eddie Hung [Thu, 29 Aug 2019 02:09:29 +0000 (19:09 -0700)]
Comment out SB_MAC16 arrival time for now, need to handle all its modes

5 years agoAdd arrival for SB_MAC16.O
Eddie Hung [Thu, 29 Aug 2019 02:07:28 +0000 (19:07 -0700)]
Add arrival for SB_MAC16.O

5 years agoAdd arrival times for U
Eddie Hung [Thu, 29 Aug 2019 02:03:29 +0000 (19:03 -0700)]
Add arrival times for U

5 years agoLX -> LP
Eddie Hung [Thu, 29 Aug 2019 02:02:54 +0000 (19:02 -0700)]
LX -> LP

5 years agoRound not floor
Eddie Hung [Thu, 29 Aug 2019 01:57:34 +0000 (18:57 -0700)]
Round not floor

5 years agoAdd LP timings
Eddie Hung [Thu, 29 Aug 2019 01:56:25 +0000 (18:56 -0700)]
Add LP timings

5 years agoLX -> LP
Eddie Hung [Thu, 29 Aug 2019 01:51:14 +0000 (18:51 -0700)]
LX -> LP

5 years agoMerge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrival
Eddie Hung [Thu, 29 Aug 2019 01:50:20 +0000 (18:50 -0700)]
Merge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrival

5 years agoAdd run-test.sh too
Eddie Hung [Thu, 29 Aug 2019 01:47:48 +0000 (18:47 -0700)]
Add run-test.sh too

5 years agoDo not overwrite LUT param
Eddie Hung [Thu, 29 Aug 2019 01:45:09 +0000 (18:45 -0700)]
Do not overwrite LUT param

5 years agoAdd SB_CARRY to ice40_opt test
Eddie Hung [Thu, 29 Aug 2019 01:44:57 +0000 (18:44 -0700)]
Add SB_CARRY to ice40_opt test

5 years agoAdd ice40_opt test
Eddie Hung [Thu, 29 Aug 2019 01:34:32 +0000 (18:34 -0700)]
Add ice40_opt test

5 years agoDo not overwrite LUT param
Eddie Hung [Thu, 29 Aug 2019 01:45:09 +0000 (18:45 -0700)]
Do not overwrite LUT param

5 years agoAdd SB_CARRY to ice40_opt test
Eddie Hung [Thu, 29 Aug 2019 01:44:57 +0000 (18:44 -0700)]
Add SB_CARRY to ice40_opt test

5 years agoAdd ice40_opt test
Eddie Hung [Thu, 29 Aug 2019 01:34:32 +0000 (18:34 -0700)]
Add ice40_opt test

5 years agoCleanup
Eddie Hung [Thu, 29 Aug 2019 01:10:33 +0000 (18:10 -0700)]
Cleanup

5 years agoRevert "Revert "Fix omode which inserts an output if none exists (otherwise abc9...
Eddie Hung [Thu, 29 Aug 2019 00:34:00 +0000 (17:34 -0700)]
Revert "Revert "Fix omode which inserts an output if none exists (otherwise abc9 breaks)""

This reverts commit 8f0c1232d7c511a6473f4581e4c27a90088cedb7.

5 years agoRevert "Output "h" extension only if boxes"
Eddie Hung [Thu, 29 Aug 2019 00:30:54 +0000 (17:30 -0700)]
Revert "Output "h" extension only if boxes"

This reverts commit 399ac760ff2bf4a7d438ed388820e7bfb511de6b.

5 years agoMerge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrival
Eddie Hung [Thu, 29 Aug 2019 00:29:25 +0000 (17:29 -0700)]
Merge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrival

5 years agoTrailing comma
Eddie Hung [Thu, 29 Aug 2019 00:25:54 +0000 (17:25 -0700)]
Trailing comma

5 years agoAdapt to $__ICE40_CARRY_WRAPPER
Eddie Hung [Thu, 29 Aug 2019 00:25:05 +0000 (17:25 -0700)]
Adapt to $__ICE40_CARRY_WRAPPER

5 years agoRevert "Remove $__ICE40_FULL_ADDER handling from ice40_opt; cannot reason with"
Eddie Hung [Thu, 29 Aug 2019 00:22:44 +0000 (17:22 -0700)]
Revert "Remove $__ICE40_FULL_ADDER handling from ice40_opt; cannot reason with"

This reverts commit 2aedee1f0e0f6a6214241f51f5c12d4b67c3ef6f.

5 years agoAdd arrival times for HX devices
Eddie Hung [Thu, 29 Aug 2019 00:21:37 +0000 (17:21 -0700)]
Add arrival times for HX devices

5 years agoSpecify ice40 family to cells_sim.v using define
Eddie Hung [Thu, 29 Aug 2019 00:21:12 +0000 (17:21 -0700)]
Specify ice40 family to cells_sim.v using define

5 years agoMerge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrival
Eddie Hung [Thu, 29 Aug 2019 00:19:02 +0000 (17:19 -0700)]
Merge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrival

5 years agoRemove $__ICE40_FULL_ADDER handling from ice40_opt; cannot reason with
Eddie Hung [Thu, 29 Aug 2019 00:07:36 +0000 (17:07 -0700)]
Remove $__ICE40_FULL_ADDER handling from ice40_opt; cannot reason with

CARRY_WRAPPER in the same way since I0 and I3 could be used

5 years agoUpdate box size and timings
Eddie Hung [Thu, 29 Aug 2019 00:07:24 +0000 (17:07 -0700)]
Update box size and timings

5 years agoUpdate to new $__ICE40_CARRY_WRAPPER
Eddie Hung [Thu, 29 Aug 2019 00:07:07 +0000 (17:07 -0700)]
Update to new $__ICE40_CARRY_WRAPPER

5 years agoAccount for D port being a constant
Eddie Hung [Wed, 28 Aug 2019 22:31:55 +0000 (15:31 -0700)]
Account for D port being a constant

5 years agoAccount for D port being a constant
Eddie Hung [Wed, 28 Aug 2019 22:31:55 +0000 (15:31 -0700)]
Account for D port being a constant

5 years agoMerge branch 'eddie/xilinx_srl' into xaig_arrival
Eddie Hung [Wed, 28 Aug 2019 22:31:48 +0000 (15:31 -0700)]
Merge branch 'eddie/xilinx_srl' into xaig_arrival

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Wed, 28 Aug 2019 22:19:10 +0000 (15:19 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoComment out *.sh used for testbenches as we have no more
Eddie Hung [Wed, 28 Aug 2019 19:36:20 +0000 (12:36 -0700)]
Comment out *.sh used for testbenches as we have no more

5 years agoMerge pull request #1334 from YosysHQ/clifford/async2synclatch
Eddie Hung [Wed, 28 Aug 2019 19:36:06 +0000 (12:36 -0700)]
Merge pull request #1334 from YosysHQ/clifford/async2synclatch

Add $dlatch support to async2sync

5 years agoUse equiv for memory and dpram
Eddie Hung [Wed, 28 Aug 2019 19:30:35 +0000 (12:30 -0700)]
Use equiv for memory and dpram

5 years agoUse equiv_opt for latches
Eddie Hung [Wed, 28 Aug 2019 19:21:15 +0000 (12:21 -0700)]
Use equiv_opt for latches

5 years agoMerge remote-tracking branch 'origin/clifford/async2synclatch' into Sergey/tests_ice40
Eddie Hung [Wed, 28 Aug 2019 19:18:32 +0000 (12:18 -0700)]
Merge remote-tracking branch 'origin/clifford/async2synclatch' into Sergey/tests_ice40

5 years agoNo need to replace Q of slice since $shiftx is autoremove-d
Eddie Hung [Wed, 28 Aug 2019 18:06:11 +0000 (11:06 -0700)]
No need to replace Q of slice since $shiftx is autoremove-d

5 years agoAdd (* clkbuf_sink *) to SRLC16E, reorder ports to match vendor
Eddie Hung [Wed, 28 Aug 2019 17:51:39 +0000 (10:51 -0700)]
Add (* clkbuf_sink *) to SRLC16E, reorder ports to match vendor

5 years agoMore cleanup
Eddie Hung [Wed, 28 Aug 2019 17:19:35 +0000 (10:19 -0700)]
More cleanup

5 years agoMore cleanup
Eddie Hung [Wed, 28 Aug 2019 17:11:09 +0000 (10:11 -0700)]
More cleanup

5 years agoDo not use default_params dict, hardcode default values, cleanup
Eddie Hung [Wed, 28 Aug 2019 17:06:40 +0000 (10:06 -0700)]
Do not use default_params dict, hardcode default values, cleanup

5 years agoAdd .gitignore
Eddie Hung [Wed, 28 Aug 2019 16:55:34 +0000 (09:55 -0700)]
Add .gitignore

5 years agoUse test_pmgen for xilinx_srl
Eddie Hung [Wed, 28 Aug 2019 16:55:09 +0000 (09:55 -0700)]
Use test_pmgen for xilinx_srl

5 years agoAlways generate if no match
Eddie Hung [Wed, 28 Aug 2019 16:54:56 +0000 (09:54 -0700)]
Always generate if no match

5 years agoRename test_pmgen arg xilinx_srl.{fixed,variable}
Eddie Hung [Wed, 28 Aug 2019 16:27:03 +0000 (09:27 -0700)]
Rename test_pmgen arg xilinx_srl.{fixed,variable}

5 years agoDo not simplemap for variable test
Eddie Hung [Wed, 28 Aug 2019 16:26:08 +0000 (09:26 -0700)]
Do not simplemap for variable test