yosys.git
4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Tue, 8 Oct 2019 20:03:06 +0000 (13:03 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoRevert "Add test that is expecting to fail"
Eddie Hung [Tue, 8 Oct 2019 19:41:26 +0000 (12:41 -0700)]
Revert "Add test that is expecting to fail"

This reverts commit c28d4b804720c2cf0086e921748219150e9631b5.

4 years agoRevert "Be mindful that sigmap(wire) could have dupes when checking \init"
Eddie Hung [Tue, 8 Oct 2019 19:41:24 +0000 (12:41 -0700)]
Revert "Be mindful that sigmap(wire) could have dupes when checking \init"

This reverts commit f46ac1df9f8847dac9d9851f2f948d93a1064ff1.

4 years agoMerge pull request #1432 from YosysHQ/eddie/fix1427
Eddie Hung [Tue, 8 Oct 2019 19:38:29 +0000 (12:38 -0700)]
Merge pull request #1432 from YosysHQ/eddie/fix1427

Refactor peepopt_dffmux and be sensitive to \init when trimming

4 years agoMerge pull request #1433 from YosysHQ/eddie/equiv_opt_async2sync
Eddie Hung [Tue, 8 Oct 2019 17:53:44 +0000 (10:53 -0700)]
Merge pull request #1433 from YosysHQ/eddie/equiv_opt_async2sync

async2sync to be called by equiv_opt only when -async2sync given

4 years agoMerge pull request #1437 from YosysHQ/eddie/abc_to_abc9
Eddie Hung [Tue, 8 Oct 2019 17:53:38 +0000 (10:53 -0700)]
Merge pull request #1437 from YosysHQ/eddie/abc_to_abc9

Rename abc_* names/attributes to more precisely be abc9_*

4 years agoMerge pull request #1438 from YosysHQ/eddie/xilinx_dsp_comments
Eddie Hung [Tue, 8 Oct 2019 17:53:30 +0000 (10:53 -0700)]
Merge pull request #1438 from YosysHQ/eddie/xilinx_dsp_comments

Add notes and comments for xilinx_dsp

4 years agoCleanup
Eddie Hung [Mon, 7 Oct 2019 22:58:55 +0000 (15:58 -0700)]
Cleanup

4 years agoRename $currQ to $abc9_currQ
Eddie Hung [Mon, 7 Oct 2019 22:31:43 +0000 (15:31 -0700)]
Rename $currQ to $abc9_currQ

4 years agoUse "abc9_period" attribute for delay target
Eddie Hung [Mon, 7 Oct 2019 22:03:44 +0000 (15:03 -0700)]
Use "abc9_period" attribute for delay target

4 years agoGet rid of latch_* in write_xaiger
Eddie Hung [Mon, 7 Oct 2019 20:09:13 +0000 (13:09 -0700)]
Get rid of latch_* in write_xaiger

4 years agoUpdate comments in abc9_map.v
Eddie Hung [Mon, 7 Oct 2019 19:54:45 +0000 (12:54 -0700)]
Update comments in abc9_map.v

4 years agoRemove -D_ABC9
Eddie Hung [Mon, 7 Oct 2019 19:21:52 +0000 (12:21 -0700)]
Remove -D_ABC9

4 years agoRemove "write_xaiger -zinit"
Eddie Hung [Mon, 7 Oct 2019 18:58:49 +0000 (11:58 -0700)]
Remove "write_xaiger -zinit"

4 years agoAdd comment on default flop init
Eddie Hung [Mon, 7 Oct 2019 18:56:17 +0000 (11:56 -0700)]
Add comment on default flop init

4 years agoGet rid of output_port lookup
Eddie Hung [Mon, 7 Oct 2019 18:49:06 +0000 (11:49 -0700)]
Get rid of output_port lookup

4 years agoMerge pull request #1439 from YosysHQ/eddie/fix_ice40_wrapcarry
Clifford Wolf [Sun, 6 Oct 2019 10:11:20 +0000 (12:11 +0200)]
Merge pull request #1439 from YosysHQ/eddie/fix_ice40_wrapcarry

Missing 'accept' at end of ice40_wrapcarry, spotted by @cliffordwolf

4 years agoDo not require changes to cells_sim.v; try and work out comb model
Eddie Hung [Sun, 6 Oct 2019 05:55:18 +0000 (22:55 -0700)]
Do not require changes to cells_sim.v; try and work out comb model

4 years agoMissing 'accept' at end of ice40_wrapcarry, spotted by @cliffordwolf
Eddie Hung [Sat, 5 Oct 2019 16:27:12 +0000 (09:27 -0700)]
Missing 'accept' at end of ice40_wrapcarry, spotted by @cliffordwolf

4 years agoUpdate README.md
Clifford Wolf [Sat, 5 Oct 2019 16:13:04 +0000 (18:13 +0200)]
Update README.md

4 years agoError if $currQ not found
Eddie Hung [Sat, 5 Oct 2019 16:06:13 +0000 (09:06 -0700)]
Error if $currQ not found

4 years agoMissed this
Eddie Hung [Sat, 5 Oct 2019 15:57:37 +0000 (08:57 -0700)]
Missed this

4 years agoAdd comment on why we have to match for clock-enable/reset muxes
Eddie Hung [Sat, 5 Oct 2019 15:56:37 +0000 (08:56 -0700)]
Add comment on why we have to match for clock-enable/reset muxes

4 years agoAdd note on pattern detector
Eddie Hung [Sat, 5 Oct 2019 15:53:01 +0000 (08:53 -0700)]
Add note on pattern detector

4 years agoMerge pull request #1436 from YosysHQ/mmicko/msvc_fix
Miodrag Milanović [Sat, 5 Oct 2019 05:48:30 +0000 (07:48 +0200)]
Merge pull request #1436 from YosysHQ/mmicko/msvc_fix

Fixes for MSVC build

4 years agoAdd comment on why partial multipliers are 18x18
Eddie Hung [Sat, 5 Oct 2019 05:30:14 +0000 (22:30 -0700)]
Add comment on why partial multipliers are 18x18

4 years agoAdd comments for xilinx_dsp_cascade
Eddie Hung [Sat, 5 Oct 2019 05:25:30 +0000 (22:25 -0700)]
Add comments for xilinx_dsp_cascade

4 years agoImprove comments for xilinx_dsp_CREG
Eddie Hung [Sat, 5 Oct 2019 05:24:15 +0000 (22:24 -0700)]
Improve comments for xilinx_dsp_CREG

4 years agoFix comment
Eddie Hung [Sat, 5 Oct 2019 04:45:31 +0000 (21:45 -0700)]
Fix comment

4 years agoRestore optimisation for sigM.empty()
Eddie Hung [Sat, 5 Oct 2019 04:42:46 +0000 (21:42 -0700)]
Restore optimisation for sigM.empty()

4 years agoRetry on fixing TODOs
Eddie Hung [Fri, 4 Oct 2019 20:38:09 +0000 (13:38 -0700)]
Retry on fixing TODOs

4 years agoRevert "Fix TODOs"
Eddie Hung [Fri, 4 Oct 2019 20:33:27 +0000 (13:33 -0700)]
Revert "Fix TODOs"

This reverts commit 8674a6c68d563908014d16671567459499c6dc99.

4 years agoMore comments, cleanup
Eddie Hung [Fri, 4 Oct 2019 20:31:44 +0000 (13:31 -0700)]
More comments, cleanup

4 years agoFix TODOs
Eddie Hung [Fri, 4 Oct 2019 19:43:56 +0000 (12:43 -0700)]
Fix TODOs

4 years agoConsistency
Eddie Hung [Fri, 4 Oct 2019 19:43:19 +0000 (12:43 -0700)]
Consistency

4 years agoAdd comments for xilinx_dsp
Eddie Hung [Fri, 4 Oct 2019 19:40:34 +0000 (12:40 -0700)]
Add comments for xilinx_dsp

4 years agoFix typo in check_label()
Eddie Hung [Sat, 5 Oct 2019 04:43:15 +0000 (21:43 -0700)]
Fix typo in check_label()

4 years agoabc -> abc9
Eddie Hung [Sat, 5 Oct 2019 00:56:38 +0000 (17:56 -0700)]
abc -> abc9

4 years agoMerge branch 'master' into eddie/abc_to_abc9
Eddie Hung [Sat, 5 Oct 2019 00:53:20 +0000 (17:53 -0700)]
Merge branch 'master' into eddie/abc_to_abc9

4 years agoFix from merge
Eddie Hung [Sat, 5 Oct 2019 00:52:19 +0000 (17:52 -0700)]
Fix from merge

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Sat, 5 Oct 2019 00:39:08 +0000 (17:39 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd temporary `abc9 -nomfs` and use for `synth_xilinx -abc9`
Eddie Hung [Sat, 5 Oct 2019 00:35:43 +0000 (17:35 -0700)]
Add temporary `abc9 -nomfs` and use for `synth_xilinx -abc9`

4 years agoUse read_args for read_verilog
Eddie Hung [Sat, 5 Oct 2019 00:27:05 +0000 (17:27 -0700)]
Use read_args for read_verilog

4 years agoRemove DSP48E1 from *_cells_xtra.v
Eddie Hung [Sat, 5 Oct 2019 00:26:42 +0000 (17:26 -0700)]
Remove DSP48E1 from *_cells_xtra.v

4 years agoFix merge issues
Eddie Hung [Sat, 5 Oct 2019 00:21:14 +0000 (17:21 -0700)]
Fix merge issues

4 years agoMerge remote-tracking branch 'origin/eddie/abc_to_abc9' into xaig_dff
Eddie Hung [Fri, 4 Oct 2019 23:58:55 +0000 (16:58 -0700)]
Merge remote-tracking branch 'origin/eddie/abc_to_abc9' into xaig_dff

4 years agoFix xilinx_dsp for unsigned extensions
Eddie Hung [Fri, 4 Oct 2019 23:46:15 +0000 (16:46 -0700)]
Fix xilinx_dsp for unsigned extensions

4 years agoFix for SigSpec() == SigSpec(State::Sx, 0) to be true again
Eddie Hung [Fri, 4 Oct 2019 23:45:36 +0000 (16:45 -0700)]
Fix for SigSpec() == SigSpec(State::Sx, 0) to be true again

4 years agoAdd Const::{begin,end,empty}()
Eddie Hung [Fri, 4 Oct 2019 20:31:33 +0000 (13:31 -0700)]
Add Const::{begin,end,empty}()

4 years agoRename abc_* names/attributes to more precisely be abc9_*
Eddie Hung [Fri, 4 Oct 2019 18:04:10 +0000 (11:04 -0700)]
Rename abc_* names/attributes to more precisely be abc9_*

4 years agoPanic over. Model was elsewhere. Re-arrange for consistency
Eddie Hung [Fri, 4 Oct 2019 17:48:44 +0000 (10:48 -0700)]
Panic over. Model was elsewhere. Re-arrange for consistency

4 years agoOops
Eddie Hung [Fri, 4 Oct 2019 17:36:02 +0000 (10:36 -0700)]
Oops

4 years agoOhmilord this wasn't added all this time!?!
Eddie Hung [Fri, 4 Oct 2019 17:34:16 +0000 (10:34 -0700)]
Ohmilord this wasn't added all this time!?!

4 years agoAdd -async2sync to help text as per @daveshah1
Eddie Hung [Fri, 4 Oct 2019 17:17:46 +0000 (10:17 -0700)]
Add -async2sync to help text as per @daveshah1

4 years agoFixes for MSVC build
Miodrag Milanovic [Fri, 4 Oct 2019 14:29:46 +0000 (16:29 +0200)]
Fixes for MSVC build

4 years agoUse `sat -tempinduct` and comments for why equiv_opt not sufficient
Eddie Hung [Thu, 3 Oct 2019 18:11:50 +0000 (11:11 -0700)]
Use `sat -tempinduct` and comments for why equiv_opt not sufficient

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Thu, 3 Oct 2019 17:55:23 +0000 (10:55 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoRestore part of doc
Eddie Hung [Thu, 3 Oct 2019 17:51:53 +0000 (10:51 -0700)]
Restore part of doc

4 years agoDisable equiv check for ice40 latches
Eddie Hung [Thu, 3 Oct 2019 17:45:53 +0000 (10:45 -0700)]
Disable equiv check for ice40 latches

4 years agoAdd new -async2sync option
Eddie Hung [Thu, 3 Oct 2019 17:30:51 +0000 (10:30 -0700)]
Add new -async2sync option

4 years agoUse equiv_opt -async2sync for xilinx
Eddie Hung [Thu, 3 Oct 2019 17:30:33 +0000 (10:30 -0700)]
Use equiv_opt -async2sync for xilinx

4 years agoEnglish
Eddie Hung [Thu, 3 Oct 2019 17:11:25 +0000 (10:11 -0700)]
English

4 years agoRevert "equiv_opt to call async2sync when not -multiclock like SymbiYosys"
Eddie Hung [Thu, 3 Oct 2019 17:07:15 +0000 (10:07 -0700)]
Revert "equiv_opt to call async2sync when not -multiclock like SymbiYosys"

This reverts commit a39505e329cc05dbd4ad624a1cf0f6caf664fd9a.

4 years agoRevert "Update doc for equiv_opt"
Eddie Hung [Thu, 3 Oct 2019 17:07:03 +0000 (10:07 -0700)]
Revert "Update doc for equiv_opt"

This reverts commit a274b7cc86d4f64541d3d2903b4eeed4616ab1d8.

4 years agoChange smtbmc "Warmup failed" status to "PREUNSAT"
Clifford Wolf [Thu, 3 Oct 2019 12:59:07 +0000 (14:59 +0200)]
Change smtbmc "Warmup failed" status to "PREUNSAT"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoUpdate ABC to git rev 623b5e8
Clifford Wolf [Thu, 3 Oct 2019 12:05:21 +0000 (14:05 +0200)]
Update ABC to git rev 623b5e8

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoBump version
Clifford Wolf [Thu, 3 Oct 2019 10:26:08 +0000 (12:26 +0200)]
Bump version

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1419 from YosysHQ/eddie/lazy_derive
Clifford Wolf [Thu, 3 Oct 2019 10:06:12 +0000 (12:06 +0200)]
Merge pull request #1419 from YosysHQ/eddie/lazy_derive

module->derive() to be lazy and not touch ast if already derived

4 years agoMerge pull request #1422 from YosysHQ/eddie/aigmap_select
Clifford Wolf [Thu, 3 Oct 2019 09:54:04 +0000 (11:54 +0200)]
Merge pull request #1422 from YosysHQ/eddie/aigmap_select

Add -select option to aigmap

4 years agoMerge pull request #1429 from YosysHQ/clifford/checkmapped
Clifford Wolf [Thu, 3 Oct 2019 09:50:53 +0000 (11:50 +0200)]
Merge pull request #1429 from YosysHQ/clifford/checkmapped

Add "check -mapped"

4 years agoAdd "check -allow-tbuf"
Clifford Wolf [Thu, 3 Oct 2019 09:49:56 +0000 (11:49 +0200)]
Add "check -allow-tbuf"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1425 from YosysHQ/dave/ecp5_pdp16
David Shah [Thu, 3 Oct 2019 08:53:45 +0000 (09:53 +0100)]
Merge pull request #1425 from YosysHQ/dave/ecp5_pdp16

ecp5: Add support for mapping 36-bit wide PDP BRAMs

4 years agoFix broken CI, check reset even for constants, trim rstmux
Eddie Hung [Thu, 3 Oct 2019 04:26:26 +0000 (21:26 -0700)]
Fix broken CI, check reset even for constants, trim rstmux

4 years agoMerge pull request #1423 from YosysHQ/eddie/techmap_replace_wire
Eddie Hung [Thu, 3 Oct 2019 02:40:39 +0000 (19:40 -0700)]
Merge pull request #1423 from YosysHQ/eddie/techmap_replace_wire

RFC: techmap to recognise wires named "_TECHMAP_REPLACE_.<suffix>"

4 years agoFix test
Eddie Hung [Thu, 3 Oct 2019 01:12:25 +0000 (18:12 -0700)]
Fix test

4 years agoMerge branch 'eddie/fix_sat_init' into eddie/fix1427
Eddie Hung [Thu, 3 Oct 2019 01:07:38 +0000 (18:07 -0700)]
Merge branch 'eddie/fix_sat_init' into eddie/fix1427

4 years agoUpdate test
Eddie Hung [Thu, 3 Oct 2019 01:03:45 +0000 (18:03 -0700)]
Update test

4 years agoRefactor peepopt_dffmux and be sensitive to \init when trimming
Eddie Hung [Thu, 3 Oct 2019 00:53:42 +0000 (17:53 -0700)]
Refactor peepopt_dffmux and be sensitive to \init when trimming

4 years agoAdd test
Eddie Hung [Thu, 3 Oct 2019 00:48:55 +0000 (17:48 -0700)]
Add test

4 years agolog_dump() to support State enum
Eddie Hung [Thu, 3 Oct 2019 00:49:07 +0000 (17:49 -0700)]
log_dump() to support State enum

4 years agoBe mindful that sigmap(wire) could have dupes when checking \init
Eddie Hung [Wed, 2 Oct 2019 23:08:46 +0000 (16:08 -0700)]
Be mindful that sigmap(wire) could have dupes when checking \init

4 years agoAdd test that is expecting to fail
Eddie Hung [Wed, 2 Oct 2019 21:52:40 +0000 (14:52 -0700)]
Add test that is expecting to fail

4 years agoAlso rename cells with _TECHMAP_REPLACE_. prefix, as per @cliffordwolf
Eddie Hung [Wed, 2 Oct 2019 19:43:35 +0000 (12:43 -0700)]
Also rename cells with _TECHMAP_REPLACE_. prefix, as per @cliffordwolf

4 years agoExtend test with renaming cells with prefix too
Eddie Hung [Wed, 2 Oct 2019 19:43:18 +0000 (12:43 -0700)]
Extend test with renaming cells with prefix too

4 years agoMerge pull request #1428 from YosysHQ/clifford/fixbtor
Clifford Wolf [Wed, 2 Oct 2019 11:48:09 +0000 (13:48 +0200)]
Merge pull request #1428 from YosysHQ/clifford/fixbtor

Fix btor back-end to use "state" instead of "input" for undef init bits

4 years agoAdd "check -mapped"
Clifford Wolf [Wed, 2 Oct 2019 11:35:03 +0000 (13:35 +0200)]
Add "check -mapped"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoFix btor back-end to use "state" instead of "input" for undef init bits
Clifford Wolf [Wed, 2 Oct 2019 10:48:04 +0000 (12:48 +0200)]
Fix btor back-end to use "state" instead of "input" for undef init bits

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMore fixes
Eddie Hung [Tue, 1 Oct 2019 20:41:08 +0000 (13:41 -0700)]
More fixes

4 years agoEscape Verilog identifiers for legality outside of Yosys
Eddie Hung [Tue, 1 Oct 2019 20:05:56 +0000 (13:05 -0700)]
Escape Verilog identifiers for legality outside of Yosys

4 years agoMerge pull request #1426 from YosysHQ/mmicko/fix_environ
Miodrag Milanović [Tue, 1 Oct 2019 17:50:37 +0000 (19:50 +0200)]
Merge pull request #1426 from YosysHQ/mmicko/fix_environ

Define environ, fixes #1424

4 years agoDefine environ, fixes #1424
Miodrag Milanovic [Tue, 1 Oct 2019 16:45:07 +0000 (18:45 +0200)]
Define environ, fixes #1424

4 years agoecp5: Fix shuffle_enable port
David Shah [Tue, 1 Oct 2019 13:14:46 +0000 (14:14 +0100)]
ecp5: Fix shuffle_enable port

Signed-off-by: David Shah <dave@ds0.me>
4 years agoecp5: Add support for mapping 36-bit wide PDP BRAMs
David Shah [Tue, 1 Oct 2019 12:46:36 +0000 (13:46 +0100)]
ecp5: Add support for mapping 36-bit wide PDP BRAMs

Signed-off-by: David Shah <dave@ds0.me>
4 years agoAdd test
Eddie Hung [Tue, 1 Oct 2019 00:20:39 +0000 (17:20 -0700)]
Add test

4 years agotechmap wires named _TECHMAP_REPLACE_.<identifier> to create alias
Eddie Hung [Tue, 1 Oct 2019 00:20:12 +0000 (17:20 -0700)]
techmap wires named _TECHMAP_REPLACE_.<identifier> to create alias

4 years agoNo need to punch ports at all
Eddie Hung [Tue, 1 Oct 2019 00:02:20 +0000 (17:02 -0700)]
No need to punch ports at all

4 years agoResolve FIXME on calling proc just once
Eddie Hung [Mon, 30 Sep 2019 23:37:29 +0000 (16:37 -0700)]
Resolve FIXME on calling proc just once

4 years agoCleanup $currQ from aigerparse
Eddie Hung [Mon, 30 Sep 2019 23:36:42 +0000 (16:36 -0700)]
Cleanup $currQ from aigerparse

4 years agoRemove need for $currQ port connection
Eddie Hung [Mon, 30 Sep 2019 23:33:40 +0000 (16:33 -0700)]
Remove need for $currQ port connection

4 years agoAdd explanation to abc_map.v
Eddie Hung [Mon, 30 Sep 2019 22:39:24 +0000 (15:39 -0700)]
Add explanation to abc_map.v