yosys.git
7 years agoSimplified log_spacer() code
Clifford Wolf [Fri, 23 Dec 2016 01:06:46 +0000 (02:06 +0100)]
Simplified log_spacer() code

7 years agoAdded "yosys -W regex"
Clifford Wolf [Thu, 22 Dec 2016 22:41:44 +0000 (23:41 +0100)]
Added "yosys -W regex"

7 years agoAdded AIGER back-end to automatic back-end detection
Clifford Wolf [Wed, 21 Dec 2016 09:16:47 +0000 (10:16 +0100)]
Added AIGER back-end to automatic back-end detection

7 years agoUpdated ABC to hg rev a4872e22c646
Clifford Wolf [Wed, 21 Dec 2016 09:16:10 +0000 (10:16 +0100)]
Updated ABC to hg rev a4872e22c646

7 years agoUpdated ABC to hg rev 8bab2eedbba4
Clifford Wolf [Wed, 21 Dec 2016 08:13:20 +0000 (09:13 +0100)]
Updated ABC to hg rev 8bab2eedbba4

7 years agoAdded "verilog_defines" command
Clifford Wolf [Thu, 15 Dec 2016 16:49:11 +0000 (17:49 +0100)]
Added "verilog_defines" command

7 years agoBugfix in comment handling
Clifford Wolf [Tue, 13 Dec 2016 12:48:09 +0000 (13:48 +0100)]
Bugfix in comment handling

7 years agoAdded $anyconst support to AIGER back-end
Clifford Wolf [Sun, 11 Dec 2016 12:48:18 +0000 (13:48 +0100)]
Added $anyconst support to AIGER back-end

7 years agoMerge branch 'LSS-USP-unit-test-structure'
Clifford Wolf [Sun, 11 Dec 2016 10:03:25 +0000 (11:03 +0100)]
Merge branch 'LSS-USP-unit-test-structure'

7 years agoSome minor CodingReadme changes in unit test section
Clifford Wolf [Sun, 11 Dec 2016 10:02:56 +0000 (11:02 +0100)]
Some minor CodingReadme changes in unit test section

7 years agoBuild hotfix in tests/unit/Makefile
Clifford Wolf [Sun, 11 Dec 2016 09:58:49 +0000 (10:58 +0100)]
Build hotfix in tests/unit/Makefile

7 years agoImproved unit test structure
rodrigosiqueira [Sat, 10 Dec 2016 20:21:56 +0000 (18:21 -0200)]
Improved unit test structure

Signed-off-by: rodrigosiqueira <rodrigosiqueiramelo@gmail.com>
Signed-off-by: chaws <18oliveira.charles@gmail.com>
* Merged run-all-unitest inside unit-test target
* Fixed Makefile dependencies
* Updated documentation about unit test

7 years agoAdded explanation about configure and create test
rodrigosiqueira [Sun, 4 Dec 2016 13:35:13 +0000 (11:35 -0200)]
Added explanation about configure and create test

Added explanation about configure unit test environment and how to add new unit tests

7 years agoAdded required structure to implement unit tests
rodrigosiqueira [Sun, 4 Dec 2016 13:28:25 +0000 (11:28 -0200)]
Added required structure to implement unit tests

Added modifications inside the main Makefile to refers the unit test Makefile.
Added separated Makefile only for compiling unit tests.
Added simple example of unit test.

Signed-off-by: Charles Oliveira <18oliveira.charles@gmail.com>
Signed-off-by: Pablo Alejandro <pabloabur@usp.br>
Signed-off-by: Rodrigo Siqueira <siqueira@ime.usp.br>
7 years agoAdded $assert/$assume support to AIGER back-end
Clifford Wolf [Sat, 3 Dec 2016 12:20:29 +0000 (13:20 +0100)]
Added $assert/$assume support to AIGER back-end

7 years agoImproved yosys-smtbmc default -t/--assume-skipped for --cex and --aig
Clifford Wolf [Sat, 3 Dec 2016 11:37:20 +0000 (12:37 +0100)]
Improved yosys-smtbmc default -t/--assume-skipped for --cex and --aig

7 years agoUpdated ABV to hg rev 8b555d9e67cf
Clifford Wolf [Thu, 1 Dec 2016 16:45:40 +0000 (17:45 +0100)]
Updated ABV to hg rev 8b555d9e67cf

7 years agoAdded examples/aiger/
Clifford Wolf [Thu, 1 Dec 2016 12:42:17 +0000 (13:42 +0100)]
Added examples/aiger/

7 years agoAdded "yosys-smtbmc --aig"
Clifford Wolf [Thu, 1 Dec 2016 11:57:26 +0000 (12:57 +0100)]
Added "yosys-smtbmc --aig"

7 years agoAdded support for partially initialized regs to smt2 back-end
Clifford Wolf [Thu, 1 Dec 2016 11:00:00 +0000 (12:00 +0100)]
Added support for partially initialized regs to smt2 back-end

7 years agoAdded "write_aiger -zinit -symbols -vmap"
Clifford Wolf [Thu, 1 Dec 2016 10:04:36 +0000 (11:04 +0100)]
Added "write_aiger -zinit -symbols -vmap"

7 years agoAdded "write_aiger" command
Clifford Wolf [Wed, 30 Nov 2016 20:30:24 +0000 (21:30 +0100)]
Added "write_aiger" command

7 years agoAdded "design -reset-vlog"
Clifford Wolf [Wed, 30 Nov 2016 10:25:55 +0000 (11:25 +0100)]
Added "design -reset-vlog"

7 years agoImproved equiv_purge log output
Clifford Wolf [Tue, 29 Nov 2016 12:30:35 +0000 (13:30 +0100)]
Improved equiv_purge log output

7 years agoBugfix in smt2 back-end for pure checker modules
Clifford Wolf [Mon, 28 Nov 2016 14:15:09 +0000 (15:15 +0100)]
Bugfix in smt2 back-end for pure checker modules

7 years agoAdded support for macros as include file names
Clifford Wolf [Mon, 28 Nov 2016 13:50:17 +0000 (14:50 +0100)]
Added support for macros as include file names

7 years agoBugfix in "read_verilog -D NAME=VAL" handling
Clifford Wolf [Mon, 28 Nov 2016 13:45:05 +0000 (14:45 +0100)]
Bugfix in "read_verilog -D NAME=VAL" handling

7 years agoRemoved shebang line from smtio.py, fixes #279
Clifford Wolf [Sun, 27 Nov 2016 11:11:04 +0000 (12:11 +0100)]
Removed shebang line from smtio.py, fixes #279

7 years agoAdded wire start_offset and upto handling BLIF back-end
Clifford Wolf [Wed, 23 Nov 2016 12:49:25 +0000 (13:49 +0100)]
Added wire start_offset and upto handling BLIF back-end

7 years agoAdded wire start_offset and upto handling to splitnets cmd
Clifford Wolf [Wed, 23 Nov 2016 12:46:03 +0000 (13:46 +0100)]
Added wire start_offset and upto handling to splitnets cmd

7 years agoMerge pull request #274 from oldtopman/lcurses
Clifford Wolf [Tue, 22 Nov 2016 20:24:45 +0000 (21:24 +0100)]
Merge pull request #274 from oldtopman/lcurses

Added optional flag for linking curses with readline.

7 years agoAdded "yosys-smtbmc --append"
Clifford Wolf [Tue, 22 Nov 2016 20:21:13 +0000 (21:21 +0100)]
Added "yosys-smtbmc --append"

7 years agoAdded optional flag for linking curses with readline.
oldtopman [Tue, 22 Nov 2016 06:11:58 +0000 (23:11 -0700)]
Added optional flag for linking curses with readline.

7 years agoMerge pull request #272 from AlexDaniel/master
Clifford Wolf [Sat, 19 Nov 2016 22:25:58 +0000 (23:25 +0100)]
Merge pull request #272 from AlexDaniel/master

Markdownify README (№2)

7 years agoKeep lines under 80 characters
Aleks-Daniel Jakimenko-Aleksejev [Sat, 19 Nov 2016 18:51:50 +0000 (20:51 +0200)]
Keep lines under 80 characters

Recent README changes added some characters to existing lines, which
made them longer than 80 characters. This commit fixes that.

7 years agoImproved ABC default scripts
Clifford Wolf [Sat, 19 Nov 2016 17:20:54 +0000 (18:20 +0100)]
Improved ABC default scripts

7 years agoMarkdownify README even further
Aleks-Daniel Jakimenko-Aleksejev [Sat, 19 Nov 2016 16:34:13 +0000 (18:34 +0200)]
Markdownify README even further

7 years agoMerge pull request #271 from azidar/bugfix-assign-wmask
Clifford Wolf [Sat, 19 Nov 2016 16:36:07 +0000 (17:36 +0100)]
Merge pull request #271 from azidar/bugfix-assign-wmask

Bugfix: include assign to write-mask

7 years agoBugfix: include assign to write-mask
Adam Izraelevitz [Fri, 18 Nov 2016 19:49:26 +0000 (11:49 -0800)]
Bugfix: include assign to write-mask

7 years agoMore progress in FIRRTL back-end
Clifford Wolf [Fri, 18 Nov 2016 01:41:29 +0000 (02:41 +0100)]
More progress in FIRRTL back-end

7 years agoProgress in FIRRTL back-end
Clifford Wolf [Thu, 17 Nov 2016 23:32:35 +0000 (00:32 +0100)]
Progress in FIRRTL back-end

7 years agoAdded first draft of FIRRTL back-end
Clifford Wolf [Thu, 17 Nov 2016 22:36:47 +0000 (23:36 +0100)]
Added first draft of FIRRTL back-end

7 years agoCleanups and fixed in write_verilog regarding reg init
Clifford Wolf [Wed, 16 Nov 2016 11:00:39 +0000 (12:00 +0100)]
Cleanups and fixed in write_verilog regarding reg init

7 years agoAdded support for hierarchical defparams
Clifford Wolf [Tue, 15 Nov 2016 12:35:19 +0000 (13:35 +0100)]
Added support for hierarchical defparams

7 years agoRemember global declarations and defines accross read_verilog calls
Clifford Wolf [Tue, 15 Nov 2016 11:42:43 +0000 (12:42 +0100)]
Remember global declarations and defines accross read_verilog calls

7 years agoMerge pull request #268 from AlexDaniel/master
Clifford Wolf [Sun, 13 Nov 2016 20:47:51 +0000 (21:47 +0100)]
Merge pull request #268 from AlexDaniel/master

Markdownify README

7 years agoMarkdownify README
Aleks-Daniel Jakimenko-Aleksejev [Sat, 12 Nov 2016 21:33:28 +0000 (23:33 +0200)]
Markdownify README

This is the first commit in series. There are many other things that
could be improved, this is just the first renderable version.

7 years agoMinor bugfix in submod
Clifford Wolf [Wed, 9 Nov 2016 12:13:26 +0000 (13:13 +0100)]
Minor bugfix in submod

7 years agoProgress in examples/gowin/
Clifford Wolf [Tue, 8 Nov 2016 18:07:22 +0000 (19:07 +0100)]
Progress in examples/gowin/

7 years agoIndenting fixes in gowin sim cell lib
Clifford Wolf [Tue, 8 Nov 2016 17:54:00 +0000 (18:54 +0100)]
Indenting fixes in gowin sim cell lib

7 years agoBugfix in "setundef" pass
Clifford Wolf [Tue, 8 Nov 2016 17:53:36 +0000 (18:53 +0100)]
Bugfix in "setundef" pass

7 years agoAdded examples/gowin/
Clifford Wolf [Mon, 7 Nov 2016 11:55:56 +0000 (12:55 +0100)]
Added examples/gowin/

7 years agoImplemented "scc -set_attr"
Clifford Wolf [Sat, 5 Nov 2016 23:04:10 +0000 (00:04 +0100)]
Implemented "scc -set_attr"

7 years agoBugfix in "scc" command
Clifford Wolf [Sat, 5 Nov 2016 23:03:35 +0000 (00:03 +0100)]
Bugfix in "scc" command

7 years agoFixed anonymous genblock object names
Clifford Wolf [Fri, 4 Nov 2016 06:46:30 +0000 (07:46 +0100)]
Fixed anonymous genblock object names

7 years agoAdded hex constant support to write_verilog
Clifford Wolf [Thu, 3 Nov 2016 11:13:23 +0000 (12:13 +0100)]
Added hex constant support to write_verilog

7 years agoWe are now in 0.7+ development
Clifford Wolf [Thu, 3 Nov 2016 09:31:51 +0000 (10:31 +0100)]
We are now in 0.7+ development

7 years agoYosys 0.7 yosys-0.7
Clifford Wolf [Thu, 3 Nov 2016 08:08:43 +0000 (09:08 +0100)]
Yosys 0.7

7 years agoBugfix in "hierarchy -check"
Clifford Wolf [Wed, 2 Nov 2016 19:09:57 +0000 (20:09 +0100)]
Bugfix in "hierarchy -check"

7 years agoUpdated command reference in manual
Clifford Wolf [Wed, 2 Nov 2016 18:25:28 +0000 (19:25 +0100)]
Updated command reference in manual

7 years agoChangelog for Yosys 0.7
Clifford Wolf [Wed, 2 Nov 2016 17:53:30 +0000 (18:53 +0100)]
Changelog for Yosys 0.7

7 years agoAdded support for fsm_encoding="user"
Clifford Wolf [Wed, 2 Nov 2016 12:15:49 +0000 (13:15 +0100)]
Added support for fsm_encoding="user"

7 years agoAdded "fsm_expand -full"
Clifford Wolf [Wed, 2 Nov 2016 08:31:39 +0000 (09:31 +0100)]
Added "fsm_expand -full"

7 years agoSome fixes in handling of signed arrays
Clifford Wolf [Tue, 1 Nov 2016 22:17:43 +0000 (23:17 +0100)]
Some fixes in handling of signed arrays

7 years agoiCE40 flow is not experimental anymore
Clifford Wolf [Tue, 1 Nov 2016 10:32:02 +0000 (11:32 +0100)]
iCE40 flow is not experimental anymore

7 years agoAdded initial version of "synth_gowin"
Clifford Wolf [Tue, 1 Nov 2016 10:31:13 +0000 (11:31 +0100)]
Added initial version of "synth_gowin"

7 years agoAdde "write_verilog -renameprefix -v"
Clifford Wolf [Tue, 1 Nov 2016 10:30:27 +0000 (11:30 +0100)]
Adde "write_verilog -renameprefix -v"

7 years agoAdded support for (single-clock) transparent memories to bram tests
Clifford Wolf [Tue, 1 Nov 2016 09:03:13 +0000 (10:03 +0100)]
Added support for (single-clock) transparent memories to bram tests

7 years agoBugfix in fsm_map for FSMs without reset state
Clifford Wolf [Tue, 25 Oct 2016 21:21:37 +0000 (23:21 +0200)]
Bugfix in fsm_map for FSMs without reset state

7 years agoAdded avail params to ilang format, check module params in 'hierarchy -check'
Clifford Wolf [Sat, 22 Oct 2016 09:05:49 +0000 (11:05 +0200)]
Added avail params to ilang format, check module params in 'hierarchy -check'

7 years agoAdded "setparam -type"
Clifford Wolf [Wed, 19 Oct 2016 11:54:04 +0000 (13:54 +0200)]
Added "setparam -type"

7 years agoNo limit for length of lines in BLIF front-end
Clifford Wolf [Wed, 19 Oct 2016 10:44:58 +0000 (12:44 +0200)]
No limit for length of lines in BLIF front-end

7 years agoMerge pull request #250 from azonenberg/master
Clifford Wolf [Wed, 19 Oct 2016 09:37:04 +0000 (11:37 +0200)]
Merge pull request #250 from azonenberg/master

Add support for more GreenPak cells (edge detector, delay, pattern generator)

7 years agoFixed typo in last commit
Andrew Zonenberg [Wed, 19 Oct 2016 03:46:49 +0000 (20:46 -0700)]
Fixed typo in last commit

7 years agogreenpak4: Added GP_PGEN cell definition
Andrew Zonenberg [Wed, 19 Oct 2016 03:42:44 +0000 (20:42 -0700)]
greenpak4: Added GP_PGEN cell definition

7 years agoAdded GLITCH_FILTER parameter to GP_DELAY
Andrew Zonenberg [Wed, 19 Oct 2016 02:53:19 +0000 (19:53 -0700)]
Added GLITCH_FILTER parameter to GP_DELAY

7 years agogreenpak4: added model for GP_EDGEDET block
Andrew Zonenberg [Wed, 19 Oct 2016 02:33:26 +0000 (19:33 -0700)]
greenpak4: added model for GP_EDGEDET block

7 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Wed, 19 Oct 2016 02:29:25 +0000 (19:29 -0700)]
Merge https://github.com/cliffordwolf/yosys

7 years agoIgnore L_pi nets in "yosys-smtbmc --cex"
Clifford Wolf [Tue, 18 Oct 2016 08:54:53 +0000 (10:54 +0200)]
Ignore L_pi nets in "yosys-smtbmc --cex"

7 years agoUse init value "2" for all uninitialized FFs in BLIF back-end
Clifford Wolf [Tue, 18 Oct 2016 08:54:04 +0000 (10:54 +0200)]
Use init value "2" for all uninitialized FFs in BLIF back-end

7 years agoAdded "yosys-smtbmc --cex <filename>"
Clifford Wolf [Mon, 17 Oct 2016 12:57:28 +0000 (14:57 +0200)]
Added "yosys-smtbmc --cex <filename>"

7 years agoBugfix in "miter -assert" handling of assumptions
Clifford Wolf [Mon, 17 Oct 2016 12:56:58 +0000 (14:56 +0200)]
Bugfix in "miter -assert" handling of assumptions

7 years agoAdded clk2fflogic support for $dffsr and $dlatch
Clifford Wolf [Mon, 17 Oct 2016 11:28:55 +0000 (13:28 +0200)]
Added clk2fflogic support for $dffsr and $dlatch

7 years agogreenpak4: Changed parameters for GP_SYSRESET
Andrew Zonenberg [Mon, 17 Oct 2016 05:53:43 +0000 (22:53 -0700)]
greenpak4: Changed parameters for GP_SYSRESET

7 years agoImprovements and bugfixes in clk2fflogic
Clifford Wolf [Sun, 16 Oct 2016 21:03:29 +0000 (23:03 +0200)]
Improvements and bugfixes in clk2fflogic

7 years agocleanup in write_smt2 log messages (-bv and -mem are now default)
Clifford Wolf [Sun, 16 Oct 2016 21:02:51 +0000 (23:02 +0200)]
cleanup in write_smt2 log messages (-bv and -mem are now default)

7 years agoBuild fixes for VS 2015
Clifford Wolf [Sun, 16 Oct 2016 18:37:02 +0000 (20:37 +0200)]
Build fixes for VS 2015

7 years agoSome minor build fixes for Visual C
Clifford Wolf [Fri, 14 Oct 2016 16:34:44 +0000 (18:34 +0200)]
Some minor build fixes for Visual C

7 years agoAvoid using strcasecmp()
Clifford Wolf [Fri, 14 Oct 2016 16:20:36 +0000 (18:20 +0200)]
Avoid using strcasecmp()

7 years agoFixed version string for out-of-tree builds
Clifford Wolf [Fri, 14 Oct 2016 15:18:18 +0000 (17:18 +0200)]
Fixed version string for out-of-tree builds

7 years agoAdded notes about some formal features to README
Clifford Wolf [Fri, 14 Oct 2016 13:39:33 +0000 (15:39 +0200)]
Added notes about some formal features to README

7 years agoAdded $anyseq cell type
Clifford Wolf [Fri, 14 Oct 2016 13:24:03 +0000 (15:24 +0200)]
Added $anyseq cell type

7 years agoAdded clk2fflogic
Clifford Wolf [Fri, 14 Oct 2016 12:55:07 +0000 (14:55 +0200)]
Added clk2fflogic

7 years agoAdded opt_rmdff support for $ff cells
Clifford Wolf [Fri, 14 Oct 2016 11:02:36 +0000 (13:02 +0200)]
Added opt_rmdff support for $ff cells

7 years agoAdded $global_clock verilog syntax support for creating $ff cells
Clifford Wolf [Fri, 14 Oct 2016 10:33:56 +0000 (12:33 +0200)]
Added $global_clock verilog syntax support for creating $ff cells

7 years agoAdded MEMID handling to "flatten" pass
Clifford Wolf [Fri, 14 Oct 2016 08:36:37 +0000 (10:36 +0200)]
Added MEMID handling to "flatten" pass

7 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Fri, 14 Oct 2016 07:36:40 +0000 (09:36 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

7 years agoMerge pull request #246 from set-soft/abc_external_ovr
Clifford Wolf [Fri, 14 Oct 2016 07:36:31 +0000 (09:36 +0200)]
Merge pull request #246 from set-soft/abc_external_ovr

Allow to overwrite ABCEXTERNAL from the environment.

7 years agoAdded YOSYS_VER_STR make variable
Clifford Wolf [Fri, 14 Oct 2016 07:35:18 +0000 (09:35 +0200)]
Added YOSYS_VER_STR make variable

7 years agoUgh! extra patches got here, reverting
Salvador E. Tropea [Thu, 13 Oct 2016 20:57:09 +0000 (17:57 -0300)]
Ugh! extra patches got here, reverting