yosys.git
5 years agoConsistent use of 'override' for virtual methods in derived classes.
Henner Zeller [Sat, 21 Jul 2018 06:41:18 +0000 (23:41 -0700)]
Consistent use of 'override' for virtual methods in derived classes.

o Not all derived methods were marked 'override', but it is a great
  feature of C++11 that we should make use of.
o While at it: touched header files got a -*- c++ -*- for emacs to
  provide support for that language.
o use YS_OVERRIDE for all override keywords (though we should probably
  use the plain keyword going forward now that C++11 is established)

5 years agoMerge pull request #586 from hzeller/more-sourcepos-logging
Clifford Wolf [Fri, 20 Jul 2018 17:22:59 +0000 (19:22 +0200)]
Merge pull request #586 from hzeller/more-sourcepos-logging

Convert more log_error() to log_file_error() where possible.

5 years agoConvert more log_error() to log_file_error() where possible.
Henner Zeller [Fri, 20 Jul 2018 16:37:44 +0000 (09:37 -0700)]
Convert more log_error() to log_file_error() where possible.

Mostly statements that span over multiple lines and haven't been
caught with the previous conversion.

5 years agoMerge pull request #585 from hzeller/use-file-warning-error
Clifford Wolf [Fri, 20 Jul 2018 15:46:06 +0000 (17:46 +0200)]
Merge pull request #585 from hzeller/use-file-warning-error

Use log_file_warning(), log_file_error() functions

5 years agoUse log_file_warning(), log_file_error() functions.
Henner Zeller [Fri, 20 Jul 2018 15:11:20 +0000 (08:11 -0700)]
Use log_file_warning(), log_file_error() functions.

Wherever we can report a source-level location.

5 years agoMerge pull request #584 from hzeller/provide-source-location-logging
Clifford Wolf [Fri, 20 Jul 2018 14:36:06 +0000 (16:36 +0200)]
Merge pull request #584 from hzeller/provide-source-location-logging

Provide source-location logging.

5 years agoProvide source-location logging.
Henner Zeller [Thu, 19 Jul 2018 16:40:20 +0000 (09:40 -0700)]
Provide source-location logging.

o Provide log_file_warning() and log_file_error() that prefix the log
  message with <filename>:<lineno>: to be easily picked up by IDEs that
  need to step through errors.
o Simplify some duplicate logging code in kernel/log.cc
o Use the new log functions in genrtlil.

5 years agoAdd async2sync pass
Clifford Wolf [Thu, 19 Jul 2018 13:31:12 +0000 (15:31 +0200)]
Add async2sync pass

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix handling of eventually properties in verific importer
Clifford Wolf [Tue, 17 Jul 2018 10:43:30 +0000 (12:43 +0200)]
Fix handling of eventually properties in verific importer

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix verific -vlog-incdir and -vlog-libdir handling
Clifford Wolf [Mon, 16 Jul 2018 16:46:06 +0000 (18:46 +0200)]
Fix verific -vlog-incdir and -vlog-libdir handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #581 from daveshah1/ecp5
Clifford Wolf [Mon, 16 Jul 2018 14:58:14 +0000 (16:58 +0200)]
Merge pull request #581 from daveshah1/ecp5

Adding ECP5 synthesis target

5 years agoFix "read -incdir"
Clifford Wolf [Mon, 16 Jul 2018 14:48:09 +0000 (16:48 +0200)]
Fix "read -incdir"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoecp5: Fixing miscellaneous sim model issues
David Shah [Mon, 16 Jul 2018 13:56:12 +0000 (15:56 +0200)]
ecp5: Fixing miscellaneous sim model issues

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Clifford Wolf [Mon, 16 Jul 2018 13:32:38 +0000 (15:32 +0200)]
Merge branch 'master' of github.com:YosysHQ/yosys

6 years agoAdd "read -incdir"
Clifford Wolf [Mon, 16 Jul 2018 13:32:26 +0000 (15:32 +0200)]
Add "read -incdir"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoecp5: Fixing 'X' issues with LUT simulation models
David Shah [Mon, 16 Jul 2018 13:20:34 +0000 (15:20 +0200)]
ecp5: Fixing 'X' issues with LUT simulation models

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: ECP5 synthesis fixes
David Shah [Mon, 16 Jul 2018 12:33:13 +0000 (14:33 +0200)]
ecp5: ECP5 synthesis fixes

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: Adding synchronous set/reset support
David Shah [Sat, 14 Jul 2018 13:54:30 +0000 (15:54 +0200)]
ecp5: Adding synchronous set/reset support

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: Add DRAM match rule
David Shah [Fri, 13 Jul 2018 14:25:52 +0000 (16:25 +0200)]
ecp5: Add DRAM match rule

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: Cells and mappings fixes
David Shah [Fri, 13 Jul 2018 14:14:08 +0000 (16:14 +0200)]
ecp5: Cells and mappings fixes

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: Fixing arith_map
David Shah [Fri, 13 Jul 2018 13:49:59 +0000 (15:49 +0200)]
ecp5: Fixing arith_map

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: Initial arith_map implementation
David Shah [Fri, 13 Jul 2018 13:46:12 +0000 (15:46 +0200)]
ecp5: Initial arith_map implementation

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: Adding basic synth_ecp5 based on synth_ice40
David Shah [Fri, 13 Jul 2018 12:52:25 +0000 (14:52 +0200)]
ecp5: Adding basic synth_ecp5 based on synth_ice40

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: Adding DFF maps
David Shah [Fri, 13 Jul 2018 12:32:23 +0000 (14:32 +0200)]
ecp5: Adding DFF maps

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoMerge pull request #580 from daveshah1/ice40_nx
Clifford Wolf [Fri, 13 Jul 2018 12:31:38 +0000 (14:31 +0200)]
Merge pull request #580 from daveshah1/ice40_nx

ice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC

6 years agoecp5: Adding DRAM map
David Shah [Fri, 13 Jul 2018 12:08:42 +0000 (14:08 +0200)]
ecp5: Adding DRAM map

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoecp5: Adding basic cells_sim and mapper for LUTs up to LUT7
David Shah [Fri, 13 Jul 2018 11:27:24 +0000 (13:27 +0200)]
ecp5: Adding basic cells_sim and mapper for LUTs up to LUT7

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC
David Shah [Fri, 13 Jul 2018 11:09:18 +0000 (13:09 +0200)]
ice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC

Signed-off-by: David Shah <davey1576@gmail.com>
6 years agoFix verific eventually handling
Clifford Wolf [Fri, 29 Jun 2018 17:24:58 +0000 (19:24 +0200)]
Fix verific eventually handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd verific support for eventually properties
Clifford Wolf [Fri, 29 Jun 2018 17:21:04 +0000 (19:21 +0200)]
Add verific support for eventually properties

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "verific -formal" and "read -formal"
Clifford Wolf [Fri, 29 Jun 2018 08:02:27 +0000 (10:02 +0200)]
Add "verific -formal" and "read -formal"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "read -sv -D" support
Clifford Wolf [Thu, 28 Jun 2018 21:58:15 +0000 (23:58 +0200)]
Add "read -sv -D" support

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "read -undef"
Clifford Wolf [Thu, 28 Jun 2018 21:43:38 +0000 (23:43 +0200)]
Add "read -undef"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix handling of signed memories
Clifford Wolf [Thu, 28 Jun 2018 14:57:03 +0000 (16:57 +0200)]
Fix handling of signed memories

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd YOSYS_NOVERIFIC env variable for temporarily disabling verific
Clifford Wolf [Fri, 22 Jun 2018 18:40:22 +0000 (20:40 +0200)]
Add YOSYS_NOVERIFIC env variable for temporarily disabling verific

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd simplified "read" command, enable extnets in implicit Verific import
Clifford Wolf [Thu, 21 Jun 2018 14:56:55 +0000 (16:56 +0200)]
Add simplified "read" command, enable extnets in implicit Verific import

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Clifford Wolf [Wed, 20 Jun 2018 21:45:26 +0000 (23:45 +0200)]
Merge branch 'master' of github.com:YosysHQ/yosys

6 years agoAdd automatic verific import in hierarchy command
Clifford Wolf [Wed, 20 Jun 2018 21:45:01 +0000 (23:45 +0200)]
Add automatic verific import in hierarchy command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge pull request #572 from q3k/q3k/fix-protobuf-build
Clifford Wolf [Wed, 20 Jun 2018 18:40:59 +0000 (20:40 +0200)]
Merge pull request #572 from q3k/q3k/fix-protobuf-build

Fix protobuf build

6 years agoFix protobuf build
Sergiusz Bazanski [Wed, 20 Jun 2018 18:28:43 +0000 (19:28 +0100)]
Fix protobuf build

6 years agoMerge pull request #571 from q3k/q3k/protobuf-backend
Clifford Wolf [Tue, 19 Jun 2018 13:02:04 +0000 (15:02 +0200)]
Merge pull request #571 from q3k/q3k/protobuf-backend

Add Protobuf backend

6 years agoAdd Protobuf backend
Serge Bazanski [Tue, 19 Jun 2018 12:34:56 +0000 (13:34 +0100)]
Add Protobuf backend

Signed-off-by: Serge Bazanski <q3k@symbioticeda.com>
6 years agoBe slightly less aggressive in "deminout" pass
Clifford Wolf [Tue, 19 Jun 2018 12:29:38 +0000 (14:29 +0200)]
Be slightly less aggressive in "deminout" pass

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge pull request #570 from edcote/patch-4
Clifford Wolf [Tue, 19 Jun 2018 11:47:39 +0000 (13:47 +0200)]
Merge pull request #570 from edcote/patch-4

Include module name for area summary stats

6 years agoInclude module name for area summary stats
Edmond Cote [Tue, 19 Jun 2018 00:29:01 +0000 (17:29 -0700)]
Include module name for area summary stats

The PR prints the name of the module when displaying the final area count.

Pros:
- Easier for the user to `grep` for area information about a specific module

Cons:
- Arguably more verbose, less "pretty" than author desires

Verification:
~~~~
30c30
<    Chip area for this module: 20616.349000
---
>    Chip area for module '$paramod$d1738fc0bb353d517bc2caf8fef2abb20bced034\picorv32': 20616.349000
70c70
<    Chip area for this module: 88.697700
---
>    Chip area for module '\picorv32_axi_adapter': 88.697700
102c102
<    Chip area for this module: 20705.046700
---
>    Chip area for top module '\picorv32_axi': 20705.046700
~~~~

6 years agoBugfix in liberty parser (as suggested by aiju in #569)
Clifford Wolf [Fri, 15 Jun 2018 16:56:44 +0000 (18:56 +0200)]
Bugfix in liberty parser (as suggested by aiju in #569)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "synth_ice40 -json"
Clifford Wolf [Wed, 13 Jun 2018 11:35:10 +0000 (13:35 +0200)]
Add "synth_ice40 -json"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix ice40_opt for cases where a port is connected to a signal with width != 1
Clifford Wolf [Mon, 11 Jun 2018 16:10:12 +0000 (18:10 +0200)]
Fix ice40_opt for cases where a port is connected to a signal with width != 1

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge pull request #561 from udif/pr_skip_typo
Clifford Wolf [Wed, 6 Jun 2018 09:57:41 +0000 (11:57 +0200)]
Merge pull request #561 from udif/pr_skip_typo

Fixed typo (sikp -> skip)

6 years agoFixed typo (sikp -> skip)
Udi Finkelstein [Tue, 5 Jun 2018 14:52:36 +0000 (17:52 +0300)]
Fixed typo (sikp -> skip)

6 years agoAdd (* gclk *) attribute support
Clifford Wolf [Fri, 1 Jun 2018 11:25:42 +0000 (13:25 +0200)]
Add (* gclk *) attribute support

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd setundef -anyseq / -anyconst support to -undriven mode
Clifford Wolf [Fri, 1 Jun 2018 09:57:28 +0000 (11:57 +0200)]
Add setundef -anyseq / -anyconst support to -undriven mode

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "setundef -anyconst"
Clifford Wolf [Fri, 1 Jun 2018 09:49:58 +0000 (11:49 +0200)]
Add "setundef -anyconst"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoBugfix in handling of array instances with empty ports
Clifford Wolf [Thu, 31 May 2018 16:09:31 +0000 (18:09 +0200)]
Bugfix in handling of array instances with empty ports

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUpdate examples/cmos/counter.ys to use "synth" command
Clifford Wolf [Wed, 30 May 2018 12:17:36 +0000 (14:17 +0200)]
Update examples/cmos/counter.ys to use "synth" command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMake -nordff the default in "prep"
Clifford Wolf [Wed, 30 May 2018 11:17:09 +0000 (13:17 +0200)]
Make -nordff the default in "prep"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUpdate ABC to git rev 6df1396
Clifford Wolf [Wed, 30 May 2018 11:04:40 +0000 (13:04 +0200)]
Update ABC to git rev 6df1396

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoDisable memory_dff for initialized FFs
Clifford Wolf [Mon, 28 May 2018 15:16:15 +0000 (17:16 +0200)]
Disable memory_dff for initialized FFs

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd some cleanup code to memory_nordff
Clifford Wolf [Mon, 28 May 2018 14:42:06 +0000 (16:42 +0200)]
Add some cleanup code to memory_nordff

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd comment to VIPER #13453 work-around
Clifford Wolf [Mon, 28 May 2018 11:36:35 +0000 (13:36 +0200)]
Add comment to VIPER #13453 work-around

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix Verific handling of single-bit anyseq/anyconst wires
Clifford Wolf [Fri, 25 May 2018 13:41:45 +0000 (15:41 +0200)]
Fix Verific handling of single-bit anyseq/anyconst wires

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix VerificClocking for cases where Verific generates chains of PRIM_SVA_POSEDGE
Clifford Wolf [Thu, 24 May 2018 16:13:38 +0000 (18:13 +0200)]
Fix VerificClocking for cases where Verific generates chains of PRIM_SVA_POSEDGE

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix verific handling of anyconst/anyseq attributes
Clifford Wolf [Thu, 24 May 2018 15:07:06 +0000 (17:07 +0200)]
Fix verific handling of anyconst/anyseq attributes

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge pull request #454 from rqou/emscripten-and-abc
Clifford Wolf [Sat, 19 May 2018 06:42:45 +0000 (08:42 +0200)]
Merge pull request #454 from rqou/emscripten-and-abc

Add option to statically link abc; emscripten fixes

6 years agoForce abc to align memory to 8 bytes
Robert Ou [Sat, 19 May 2018 05:45:43 +0000 (22:45 -0700)]
Force abc to align memory to 8 bytes

Apparently abc has a memory pool implementation that by default returns
memory that is unaligned. There is a workaround in the abc makefile that
uses uname to look for "arm" specifically and then sets the alignment.
However, ARM is not the only platform that requires proper alignment
(e.g. emscripten does too). For now, pessimistically force the alignment
for 8 bytes all the time (somehow 4 wasn't enough for fixing emscripten
despite being approximately a 32-bit platform).

6 years agoModify emscripten main to mount nodefs and to run arg as a script
Robert Ou [Tue, 14 Nov 2017 12:09:32 +0000 (04:09 -0800)]
Modify emscripten main to mount nodefs and to run arg as a script

6 years agoForce abc to be linked statically and without threads in emscripten
Robert Ou [Sat, 31 Mar 2018 21:50:21 +0000 (14:50 -0700)]
Force abc to be linked statically and without threads in emscripten

6 years agoFix infinite loop in abc command under emscripten
Robert Ou [Tue, 14 Nov 2017 12:08:36 +0000 (04:08 -0800)]
Fix infinite loop in abc command under emscripten

6 years agoFix reading techlibs under emscripten
Robert Ou [Tue, 14 Nov 2017 12:08:07 +0000 (04:08 -0800)]
Fix reading techlibs under emscripten

6 years agoAdd options to disable abc's usage of pthreads and readline
Robert Ou [Sat, 19 May 2018 05:42:24 +0000 (22:42 -0700)]
Add options to disable abc's usage of pthreads and readline

6 years agoAdd an option to statically link abc into yosys
Robert Ou [Tue, 14 Nov 2017 10:19:21 +0000 (02:19 -0800)]
Add an option to statically link abc into yosys

This is currently incomplete because the output filter no longer works.

6 years agoMakefile: Make abc always use stdint.h
Robert Ou [Sat, 19 May 2018 05:01:25 +0000 (22:01 -0700)]
Makefile: Make abc always use stdint.h

6 years agoMerge pull request #550 from jimparis/yosys-upstream
Clifford Wolf [Thu, 17 May 2018 12:10:24 +0000 (14:10 +0200)]
Merge pull request #550 from jimparis/yosys-upstream

Support SystemVerilog `` extension for macros

6 years agoMerge pull request #551 from olofk/ice40_cells_sim_ports
Clifford Wolf [Thu, 17 May 2018 12:03:58 +0000 (14:03 +0200)]
Merge pull request #551 from olofk/ice40_cells_sim_ports

Avoid mixing module port declaration styles in ice40 cells_sim.v

6 years agoAvoid mixing module port declaration styles in ice40 cells_sim.v
Olof Kindgren [Thu, 17 May 2018 11:54:40 +0000 (13:54 +0200)]
Avoid mixing module port declaration styles in ice40 cells_sim.v

The current code requires workarounds for several simulators
For modelsim, the file must be compiled with -mixedansiports and
xsim needs --relax.

6 years agoSupport SystemVerilog `` extension for macros
Jim Paris [Thu, 17 May 2018 04:09:56 +0000 (00:09 -0400)]
Support SystemVerilog `` extension for macros

6 years agoSkip spaces around macro arguments
Jim Paris [Thu, 17 May 2018 04:06:49 +0000 (00:06 -0400)]
Skip spaces around macro arguments

6 years agoFix handling of anyconst/anyseq attrs in VHDL code via Verific
Clifford Wolf [Tue, 15 May 2018 17:27:00 +0000 (19:27 +0200)]
Fix handling of anyconst/anyseq attrs in VHDL code via Verific

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoRemove mercurial from build instructions
Clifford Wolf [Tue, 15 May 2018 12:19:05 +0000 (14:19 +0200)]
Remove mercurial from build instructions

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix iopadmap for loops between tristate IO buffers
Clifford Wolf [Tue, 15 May 2018 12:02:27 +0000 (14:02 +0200)]
Fix iopadmap for loops between tristate IO buffers

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix iopadmap for cases where IO pins already have buffers on them
Clifford Wolf [Tue, 15 May 2018 11:13:43 +0000 (13:13 +0200)]
Fix iopadmap for cases where IO pins already have buffers on them

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoSome cleanups in setundef.cc
Clifford Wolf [Sun, 13 May 2018 14:36:12 +0000 (16:36 +0200)]
Some cleanups in setundef.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUse $(OS) in makefile to check for Darwin
Clifford Wolf [Sun, 13 May 2018 11:29:18 +0000 (13:29 +0200)]
Use $(OS) in makefile to check for Darwin

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge pull request #505 from thefallenidealist/FreeBSD_build
Clifford Wolf [Sun, 13 May 2018 11:27:14 +0000 (13:27 +0200)]
Merge pull request #505 from thefallenidealist/FreeBSD_build

FreeBSD build

6 years agoAdd "#ifdef __FreeBSD__"
Christian Krämer [Sat, 5 May 2018 11:02:44 +0000 (13:02 +0200)]
Add "#ifdef __FreeBSD__"

(Re-commit e3575a8 with corrected author field)

6 years agoRevert "Add "#ifdef __FreeBSD__""
Clifford Wolf [Sun, 13 May 2018 11:06:36 +0000 (13:06 +0200)]
Revert "Add "#ifdef __FreeBSD__""

This reverts commit e3575a86c525f2511902e7022893c3923ba8093e.

6 years agoAlso interpret '&' in liberty functions
Sergiusz Bazanski [Sat, 12 May 2018 18:53:24 +0000 (19:53 +0100)]
Also interpret '&' in liberty functions

6 years agoAdd optimization of tristate buffer with constant control input
Clifford Wolf [Sat, 12 May 2018 13:18:27 +0000 (15:18 +0200)]
Add optimization of tristate buffer with constant control input

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "hierarchy -simcheck"
Clifford Wolf [Sat, 12 May 2018 11:59:13 +0000 (13:59 +0200)]
Add "hierarchy -simcheck"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoupdate README
Johnny Sorocil [Sun, 6 May 2018 16:22:18 +0000 (18:22 +0200)]
update README

6 years agoautotest.sh: Change from /bin/bash to /usr/bin/env bash
Johnny Sorocil [Sun, 6 May 2018 13:26:23 +0000 (15:26 +0200)]
autotest.sh: Change from /bin/bash to /usr/bin/env bash

This enables running tests on Unix systems which are not shipped with
bash installed in /bin/bash (eg *BSDs and Solaris).

6 years agoEnable building on FreeBSD
Johnny Sorocil [Sun, 6 May 2018 13:19:44 +0000 (15:19 +0200)]
Enable building on FreeBSD

6 years agoFurther improve handling of zero-length SVA consecutive repetition
Clifford Wolf [Sat, 5 May 2018 12:32:04 +0000 (14:32 +0200)]
Further improve handling of zero-length SVA consecutive repetition

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix handling of zero-length SVA consecutive repetition
Clifford Wolf [Sat, 5 May 2018 11:58:01 +0000 (13:58 +0200)]
Fix handling of zero-length SVA consecutive repetition

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "#ifdef __FreeBSD__"
Johnny Sorocil [Sat, 5 May 2018 11:02:44 +0000 (13:02 +0200)]
Add "#ifdef __FreeBSD__"

6 years agoAdd ABC FAQ to "help abc"
Clifford Wolf [Fri, 4 May 2018 19:59:31 +0000 (21:59 +0200)]
Add ABC FAQ to "help abc"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "yosys -e regex" for turning warnings into errors
Clifford Wolf [Fri, 4 May 2018 13:27:28 +0000 (15:27 +0200)]
Add "yosys -e regex" for turning warnings into errors

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge pull request #537 from mithro/yosys-vpr
Clifford Wolf [Fri, 4 May 2018 10:32:30 +0000 (12:32 +0200)]
Merge pull request #537 from mithro/yosys-vpr

Improving Yosys when used with VPR

6 years agoReplace -ignore_redef with -[no]overwrite
Clifford Wolf [Thu, 3 May 2018 13:25:59 +0000 (15:25 +0200)]
Replace -ignore_redef with -[no]overwrite

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoSupport more character literals
Dan Gisselquist [Thu, 3 May 2018 10:35:01 +0000 (12:35 +0200)]
Support more character literals