yosys.git
5 years agoMerge remote-tracking branch 'origin/clifford/libwb' into xaig
Eddie Hung [Sun, 21 Apr 2019 21:49:18 +0000 (14:49 -0700)]
Merge remote-tracking branch 'origin/clifford/libwb' into xaig

5 years agoFix tests
Clifford Wolf [Sun, 21 Apr 2019 09:40:20 +0000 (11:40 +0200)]
Fix tests

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "noblackbox" attribute
Clifford Wolf [Sun, 21 Apr 2019 09:40:09 +0000 (11:40 +0200)]
Add "noblackbox" attribute

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoNew behavior for front-end handling of whiteboxes
Clifford Wolf [Sat, 20 Apr 2019 20:24:50 +0000 (22:24 +0200)]
New behavior for front-end handling of whiteboxes

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Sat, 20 Apr 2019 19:23:49 +0000 (12:23 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #943 from YosysHQ/clifford/whitebox
Clifford Wolf [Sat, 20 Apr 2019 18:51:54 +0000 (20:51 +0200)]
Merge pull request #943 from YosysHQ/clifford/whitebox

[WIP] Add "whitebox" attribute, add "read_verilog -wb"

5 years agoAdd "techmap -wb", use in formal flows
Clifford Wolf [Sat, 20 Apr 2019 09:23:24 +0000 (11:23 +0200)]
Add "techmap -wb", use in formal flows

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoCheck blackbox attribute in techmap/simplemap
Clifford Wolf [Sat, 20 Apr 2019 09:10:05 +0000 (11:10 +0200)]
Check blackbox attribute in techmap/simplemap

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "wbflip" command
Clifford Wolf [Sat, 20 Apr 2019 09:04:46 +0000 (11:04 +0200)]
Add "wbflip" command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #942 from YosysHQ/clifford/fix931
Clifford Wolf [Sat, 20 Apr 2019 08:05:35 +0000 (10:05 +0200)]
Merge pull request #942 from YosysHQ/clifford/fix931

Improve proc full_case detection and handling

5 years agoABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
Eddie Hung [Sat, 20 Apr 2019 04:09:55 +0000 (21:09 -0700)]
ABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set

5 years agoSelect to find union of both sets on stack
Eddie Hung [Fri, 19 Apr 2019 22:47:53 +0000 (15:47 -0700)]
Select to find union of both sets on stack

5 years agoFixes for simple_abc9 tests
Eddie Hung [Fri, 19 Apr 2019 22:47:36 +0000 (15:47 -0700)]
Fixes for simple_abc9 tests

5 years agoImprove "show" handling of 0/1/X/Z padding
Clifford Wolf [Fri, 19 Apr 2019 22:37:43 +0000 (00:37 +0200)]
Improve "show" handling of 0/1/X/Z padding

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoChange "ne" to "neq" in btor2 output
Clifford Wolf [Fri, 19 Apr 2019 19:17:12 +0000 (21:17 +0200)]
Change "ne" to "neq" in btor2 output

we need to do this because they changed the parser:
https://github.com/Boolector/btor2tools/commit/e97fc9cedabadeec4f621de22096e514f862c690

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoDo not assume inst_module is always present
Eddie Hung [Fri, 19 Apr 2019 15:44:53 +0000 (08:44 -0700)]
Do not assume inst_module is always present

5 years agoignore_boxes -> holes_mode
Eddie Hung [Fri, 19 Apr 2019 15:37:10 +0000 (08:37 -0700)]
ignore_boxes -> holes_mode

5 years agoMake SB_DFF whitebox
Eddie Hung [Fri, 19 Apr 2019 15:36:38 +0000 (08:36 -0700)]
Make SB_DFF whitebox

5 years agoAdd tests/aiger/.gitignore
Clifford Wolf [Fri, 19 Apr 2019 12:04:12 +0000 (14:04 +0200)]
Add tests/aiger/.gitignore

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSpelling fixes
Eddie Hung [Thu, 11 Apr 2019 22:09:13 +0000 (15:09 -0700)]
Spelling fixes

5 years agoFix SB_DFF comb model
Eddie Hung [Fri, 19 Apr 2019 06:07:16 +0000 (23:07 -0700)]
Fix SB_DFF comb model

5 years agoRevert "write_json to not write contents (cells/wires) of whiteboxes"
Eddie Hung [Fri, 19 Apr 2019 06:05:59 +0000 (23:05 -0700)]
Revert "write_json to not write contents (cells/wires) of whiteboxes"

This reverts commit 4ef03e19a8eafc324d3442f0642abf858071fdd4.

5 years agoMissing close bracket
Eddie Hung [Fri, 19 Apr 2019 00:50:11 +0000 (17:50 -0700)]
Missing close bracket

5 years agoAnnotate SB_DFF* with abc_flop and abc_box_id
Eddie Hung [Fri, 19 Apr 2019 00:46:53 +0000 (17:46 -0700)]
Annotate SB_DFF* with abc_flop and abc_box_id

5 years agoAdd SB_DFF* to boxes
Eddie Hung [Fri, 19 Apr 2019 00:46:32 +0000 (17:46 -0700)]
Add SB_DFF* to boxes

5 years agoAdd flop support for write_xaiger
Eddie Hung [Fri, 19 Apr 2019 00:43:13 +0000 (17:43 -0700)]
Add flop support for write_xaiger

5 years agoread_aiger to parse 'r' extension
Eddie Hung [Fri, 19 Apr 2019 00:39:36 +0000 (17:39 -0700)]
read_aiger to parse 'r' extension

5 years agoSpelling
Eddie Hung [Fri, 19 Apr 2019 00:35:16 +0000 (17:35 -0700)]
Spelling

5 years agoUpdate to ABC 3709744
Clifford Wolf [Thu, 18 Apr 2019 16:51:36 +0000 (18:51 +0200)]
Update to ABC 3709744

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #917 from YosysHQ/eddie/fix_retime
Eddie Hung [Thu, 18 Apr 2019 17:56:41 +0000 (10:56 -0700)]
Merge pull request #917 from YosysHQ/eddie/fix_retime

Retime by default when abc -dff

5 years agoUse new -wb flag for ABC flow
Eddie Hung [Thu, 18 Apr 2019 17:32:41 +0000 (10:32 -0700)]
Use new -wb flag for ABC flow

5 years agowrite_json to not write contents (cells/wires) of whiteboxes
Eddie Hung [Thu, 18 Apr 2019 17:30:45 +0000 (10:30 -0700)]
write_json to not write contents (cells/wires) of whiteboxes

5 years agoIgnore 'whitebox' attr in flatten with "-wb" option
Eddie Hung [Thu, 18 Apr 2019 17:19:45 +0000 (10:19 -0700)]
Ignore 'whitebox' attr in flatten with "-wb" option

5 years agowrite_json to not write contents (cells/wires) of whiteboxes
Eddie Hung [Thu, 18 Apr 2019 17:30:45 +0000 (10:30 -0700)]
write_json to not write contents (cells/wires) of whiteboxes

5 years agoIgnore 'whitebox' attr in flatten with "-wb" option
Eddie Hung [Thu, 18 Apr 2019 17:19:45 +0000 (10:19 -0700)]
Ignore 'whitebox' attr in flatten with "-wb" option

5 years agoAlso update Makefile.inc
Eddie Hung [Thu, 18 Apr 2019 16:58:34 +0000 (09:58 -0700)]
Also update Makefile.inc

5 years agoFix abc's remap_name to not ignore [^0-9] when extracting sid
Eddie Hung [Thu, 18 Apr 2019 16:55:03 +0000 (09:55 -0700)]
Fix abc's remap_name to not ignore [^0-9] when extracting sid

5 years agoMake SB_LUT4 a blackbox
Eddie Hung [Thu, 18 Apr 2019 16:05:22 +0000 (09:05 -0700)]
Make SB_LUT4 a blackbox

5 years agoFix rename
Eddie Hung [Thu, 18 Apr 2019 16:04:34 +0000 (09:04 -0700)]
Fix rename

5 years agoRename to abc_*.{box,lut}
Eddie Hung [Thu, 18 Apr 2019 16:02:58 +0000 (09:02 -0700)]
Rename to abc_*.{box,lut}

5 years agoMerge remote-tracking branch 'origin/clifford/whitebox' into xaig
Eddie Hung [Thu, 18 Apr 2019 16:00:06 +0000 (09:00 -0700)]
Merge remote-tracking branch 'origin/clifford/whitebox' into xaig

5 years agoABC to call retime all the time
Eddie Hung [Thu, 18 Apr 2019 15:46:41 +0000 (08:46 -0700)]
ABC to call retime all the time

5 years agoAdd "whitebox" attribute, add "read_verilog -wb"
Clifford Wolf [Thu, 18 Apr 2019 15:42:12 +0000 (17:42 +0200)]
Add "whitebox" attribute, add "read_verilog -wb"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRevert "synth_* with -retime option now calls abc with -D 1 as well"
Eddie Hung [Thu, 18 Apr 2019 14:59:16 +0000 (07:59 -0700)]
Revert "synth_* with -retime option now calls abc with -D 1 as well"

This reverts commit 9a6da9a79a22e984ee3eec02caa230b66f10e11a.

5 years agoMerge branch 'master' into eddie/fix_retime
Eddie Hung [Thu, 18 Apr 2019 14:57:17 +0000 (07:57 -0700)]
Merge branch 'master' into eddie/fix_retime

5 years agoImprove proc full_case detection and handling, fixes #931
Clifford Wolf [Thu, 18 Apr 2019 13:07:43 +0000 (15:07 +0200)]
Improve proc full_case detection and handling, fixes #931

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSkip if abc_box_id earlier
Eddie Hung [Wed, 17 Apr 2019 23:36:03 +0000 (16:36 -0700)]
Skip if abc_box_id earlier

5 years agoRemove use of abc_box_id in stat
Eddie Hung [Wed, 17 Apr 2019 23:35:27 +0000 (16:35 -0700)]
Remove use of abc_box_id in stat

5 years agoFix $anyseq warning and cleanup
Eddie Hung [Wed, 17 Apr 2019 23:03:29 +0000 (16:03 -0700)]
Fix $anyseq warning and cleanup

5 years agoUpdate Makefile.inc too
Eddie Hung [Wed, 17 Apr 2019 22:19:48 +0000 (15:19 -0700)]
Update Makefile.inc too

5 years agoReduce to three devices: hx, lp, u
Eddie Hung [Wed, 17 Apr 2019 22:19:02 +0000 (15:19 -0700)]
Reduce to three devices: hx, lp, u

5 years agoDo not print slack histogram
Eddie Hung [Wed, 17 Apr 2019 22:11:14 +0000 (15:11 -0700)]
Do not print slack histogram

5 years agoAdd up5k timings
Eddie Hung [Wed, 17 Apr 2019 22:10:39 +0000 (15:10 -0700)]
Add up5k timings

5 years agoFix grammar
Eddie Hung [Wed, 17 Apr 2019 22:10:22 +0000 (15:10 -0700)]
Fix grammar

5 years agoUpdate error message
Eddie Hung [Wed, 17 Apr 2019 22:07:44 +0000 (15:07 -0700)]
Update error message

5 years agoAdd "-device" argument to synth_ice40
Eddie Hung [Wed, 17 Apr 2019 22:04:46 +0000 (15:04 -0700)]
Add "-device" argument to synth_ice40

5 years agoMissing abc_flop_q attribute on SPRAM
Eddie Hung [Wed, 17 Apr 2019 21:44:08 +0000 (14:44 -0700)]
Missing abc_flop_q attribute on SPRAM

5 years agoCope with inout ports
Eddie Hung [Wed, 17 Apr 2019 21:43:45 +0000 (14:43 -0700)]
Cope with inout ports

5 years agoMap to SB_LUT4 from fastest input first
Eddie Hung [Wed, 17 Apr 2019 20:01:17 +0000 (13:01 -0700)]
Map to SB_LUT4 from fastest input first

5 years agoWorking ABC9 script
Eddie Hung [Wed, 17 Apr 2019 19:33:32 +0000 (12:33 -0700)]
Working ABC9 script

5 years agoStop topological sort at abc_flop_q
Eddie Hung [Wed, 17 Apr 2019 19:28:19 +0000 (12:28 -0700)]
Stop topological sort at abc_flop_q

5 years agoMark seq output ports with "abc_flop_q" attr
Eddie Hung [Wed, 17 Apr 2019 19:27:45 +0000 (12:27 -0700)]
Mark seq output ports with "abc_flop_q" attr

5 years agoAlso update Makefile.inc
Eddie Hung [Wed, 17 Apr 2019 19:27:02 +0000 (12:27 -0700)]
Also update Makefile.inc

5 years agosynth_ice40 to use renamed files
Eddie Hung [Wed, 17 Apr 2019 19:22:03 +0000 (12:22 -0700)]
synth_ice40 to use renamed files

5 years agoRename to abc.*
Eddie Hung [Wed, 17 Apr 2019 19:15:34 +0000 (12:15 -0700)]
Rename to abc.*

5 years agoRevert "Try using an ICE40_CARRY_LUT primitive to avoid ABC issues"
Eddie Hung [Wed, 17 Apr 2019 18:10:20 +0000 (11:10 -0700)]
Revert "Try using an ICE40_CARRY_LUT primitive to avoid ABC issues"

This reverts commit a7632ab3326c5247b8152a53808413b259c13253.

5 years agoTry using an ICE40_CARRY_LUT primitive to avoid ABC issues
Eddie Hung [Wed, 17 Apr 2019 18:10:04 +0000 (11:10 -0700)]
Try using an ICE40_CARRY_LUT primitive to avoid ABC issues

5 years agoRemove init* from xaiger, also topo-sort cells for box flow
Eddie Hung [Wed, 17 Apr 2019 18:08:42 +0000 (11:08 -0700)]
Remove init* from xaiger, also topo-sort cells for box flow

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Wed, 17 Apr 2019 18:01:15 +0000 (11:01 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoIgnore a/i/o/h XAIGER extensions
Eddie Hung [Wed, 17 Apr 2019 17:55:23 +0000 (10:55 -0700)]
Ignore a/i/o/h XAIGER extensions

5 years agoFix spacing
Eddie Hung [Wed, 17 Apr 2019 15:40:50 +0000 (08:40 -0700)]
Fix spacing

5 years agoUpdate to ABC d1b6413
Clifford Wolf [Wed, 17 Apr 2019 11:51:34 +0000 (13:51 +0200)]
Update to ABC d1b6413

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoOptimise
Eddie Hung [Wed, 17 Apr 2019 04:05:44 +0000 (21:05 -0700)]
Optimise

5 years agoAdd SB_LUT4 to box library
Eddie Hung [Wed, 17 Apr 2019 00:34:11 +0000 (17:34 -0700)]
Add SB_LUT4 to box library

5 years agoAdd ice40 box files
Eddie Hung [Tue, 16 Apr 2019 23:39:30 +0000 (16:39 -0700)]
Add ice40 box files

5 years agoabc9 to output some more info
Eddie Hung [Tue, 16 Apr 2019 23:39:16 +0000 (16:39 -0700)]
abc9 to output some more info

5 years agoCIs before PIs; also sort each cell's connections before iterating
Eddie Hung [Tue, 16 Apr 2019 23:37:47 +0000 (16:37 -0700)]
CIs before PIs; also sort each cell's connections before iterating

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 16 Apr 2019 22:04:20 +0000 (15:04 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoPort from xc7mux branch
Eddie Hung [Tue, 16 Apr 2019 22:01:45 +0000 (15:01 -0700)]
Port from xc7mux branch

5 years agoRe-enable partsel.v test
Eddie Hung [Tue, 16 Apr 2019 20:10:35 +0000 (13:10 -0700)]
Re-enable partsel.v test

5 years agoabc9 to call "setundef -zero" behaving as for abc
Eddie Hung [Tue, 16 Apr 2019 20:10:13 +0000 (13:10 -0700)]
abc9 to call "setundef -zero" behaving as for abc

5 years agoMerge pull request #939 from YosysHQ/revert895
Eddie Hung [Tue, 16 Apr 2019 18:59:21 +0000 (11:59 -0700)]
Merge pull request #939 from YosysHQ/revert895

Revert #895 (mux-to-shiftx optimisation)

5 years agoRevert #895
Eddie Hung [Tue, 16 Apr 2019 18:07:51 +0000 (11:07 -0700)]
Revert #895

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 16 Apr 2019 04:56:45 +0000 (21:56 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch
Eddie Hung [Tue, 16 Apr 2019 01:39:20 +0000 (18:39 -0700)]
Merge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch

Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoRevert "Recognise default entry in case even if all cases covered (fix for #931)"
Eddie Hung [Tue, 16 Apr 2019 00:52:45 +0000 (17:52 -0700)]
Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoMerge pull request #936 from YosysHQ/README-fix-quotes
Eddie Hung [Mon, 15 Apr 2019 19:22:05 +0000 (12:22 -0700)]
Merge pull request #936 from YosysHQ/README-fix-quotes

README: fix some incorrect quoting

5 years agoREADME: fix some incorrect quoting.
whitequark [Mon, 15 Apr 2019 14:29:46 +0000 (14:29 +0000)]
README: fix some incorrect quoting.

5 years agoForgot backslashes
Eddie Hung [Sat, 13 Apr 2019 01:22:44 +0000 (18:22 -0700)]
Forgot backslashes

5 years agoHandle __dummy_o__ and __const[01]__ in read_aiger not abc
Eddie Hung [Sat, 13 Apr 2019 01:21:16 +0000 (18:21 -0700)]
Handle __dummy_o__ and __const[01]__ in read_aiger not abc

5 years agoabc to ignore __dummy_o__ and __const[01]__ when re-integrating
Eddie Hung [Sat, 13 Apr 2019 01:16:50 +0000 (18:16 -0700)]
abc to ignore __dummy_o__ and __const[01]__ when re-integrating

5 years agoOutput __const0__ and __const1__ CIs
Eddie Hung [Sat, 13 Apr 2019 01:16:25 +0000 (18:16 -0700)]
Output __const0__ and __const1__ CIs

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Sat, 13 Apr 2019 00:09:24 +0000 (17:09 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoFix inout handling for -map option
Eddie Hung [Sat, 13 Apr 2019 00:02:24 +0000 (17:02 -0700)]
Fix inout handling for -map option

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Fri, 12 Apr 2019 23:31:12 +0000 (16:31 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Fri, 12 Apr 2019 23:30:53 +0000 (16:30 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoUse -map instead of -symbols for aiger
Eddie Hung [Fri, 12 Apr 2019 23:29:14 +0000 (16:29 -0700)]
Use -map instead of -symbols for aiger

5 years agoci_bits and co_bits now a list, order is important for ABC
Eddie Hung [Fri, 12 Apr 2019 23:17:48 +0000 (16:17 -0700)]
ci_bits and co_bits now a list, order is important for ABC

5 years agoAlso cope with duplicated CIs
Eddie Hung [Fri, 12 Apr 2019 23:17:12 +0000 (16:17 -0700)]
Also cope with duplicated CIs

5 years agoWIP
Eddie Hung [Fri, 12 Apr 2019 21:13:11 +0000 (14:13 -0700)]
WIP