yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Fri, 14 Jun 2019 17:33:27 +0000 (10:33 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMake doc consistent
Eddie Hung [Fri, 14 Jun 2019 17:32:46 +0000 (10:32 -0700)]
Make doc consistent

5 years agoCleanup
Eddie Hung [Fri, 14 Jun 2019 17:29:27 +0000 (10:29 -0700)]
Cleanup

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Fri, 14 Jun 2019 17:29:16 +0000 (10:29 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoMerge pull request #1097 from YosysHQ/dave/xaig_ecp5
Eddie Hung [Fri, 14 Jun 2019 17:28:30 +0000 (10:28 -0700)]
Merge pull request #1097 from YosysHQ/dave/xaig_ecp5

Add ECP5 ABC9 support (to xaig branch)

5 years agoCleanup
Eddie Hung [Fri, 14 Jun 2019 17:27:30 +0000 (10:27 -0700)]
Cleanup

5 years agoCleanup/optimise toposort in write_xaiger
Eddie Hung [Fri, 14 Jun 2019 17:13:17 +0000 (10:13 -0700)]
Cleanup/optimise toposort in write_xaiger

5 years agoRemove extra semicolon
Eddie Hung [Fri, 14 Jun 2019 17:11:34 +0000 (10:11 -0700)]
Remove extra semicolon

5 years agoAdd TODO to parse_xaiger
Eddie Hung [Fri, 14 Jun 2019 17:11:13 +0000 (10:11 -0700)]
Add TODO to parse_xaiger

5 years agoecp5: Add abc9 option
David Shah [Fri, 14 Jun 2019 11:02:12 +0000 (12:02 +0100)]
ecp5: Add abc9 option

Signed-off-by: David Shah <dave@ds0.me>
5 years agoOptimise some more
Eddie Hung [Fri, 14 Jun 2019 00:02:58 +0000 (17:02 -0700)]
Optimise some more

5 years agoMove ConstEvalAig to aigerparse.cc
Eddie Hung [Thu, 13 Jun 2019 23:28:11 +0000 (16:28 -0700)]
Move ConstEvalAig to aigerparse.cc

5 years agoFix name clash
Eddie Hung [Thu, 13 Jun 2019 21:27:07 +0000 (14:27 -0700)]
Fix name clash

5 years agoMore slimming
Eddie Hung [Thu, 13 Jun 2019 20:29:03 +0000 (13:29 -0700)]
More slimming

5 years agoAdd ConstEvalAig specialised for AIGs
Eddie Hung [Thu, 13 Jun 2019 20:13:48 +0000 (13:13 -0700)]
Add ConstEvalAig specialised for AIGs

5 years agoUpdate CHANGELOG with "synth -abc9"
Eddie Hung [Thu, 13 Jun 2019 16:15:30 +0000 (09:15 -0700)]
Update CHANGELOG with "synth -abc9"

5 years agoFix LP SB_LUT4 timing
Eddie Hung [Thu, 13 Jun 2019 15:24:33 +0000 (08:24 -0700)]
Fix LP SB_LUT4 timing

5 years agoMore accurate CHANGELOG
Eddie Hung [Thu, 13 Jun 2019 15:22:22 +0000 (08:22 -0700)]
More accurate CHANGELOG

5 years agoMerge pull request #829 from abdelrahmanhosny/master
Serge Bazanski [Thu, 13 Jun 2019 10:14:37 +0000 (12:14 +0200)]
Merge pull request #829 from abdelrahmanhosny/master

Dockerfile for Yosys

5 years agoUpdate CHANGELOG
Eddie Hung [Wed, 12 Jun 2019 23:54:12 +0000 (16:54 -0700)]
Update CHANGELOG

5 years agoRip out all non FPGA stuff from abc9
Eddie Hung [Wed, 12 Jun 2019 23:53:12 +0000 (16:53 -0700)]
Rip out all non FPGA stuff from abc9

5 years agoFix spelling
Eddie Hung [Wed, 12 Jun 2019 23:52:09 +0000 (16:52 -0700)]
Fix spelling

5 years agoRevert "For 'stat' do not count modules with abc_box_id"
Eddie Hung [Wed, 12 Jun 2019 23:51:37 +0000 (16:51 -0700)]
Revert "For 'stat' do not count modules with abc_box_id"

This reverts commit b89bb744529fc8a5e4cd38522f86a797117f2abc.

5 years agoRevert "Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux"
Eddie Hung [Wed, 12 Jun 2019 23:33:05 +0000 (16:33 -0700)]
Revert "Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux"

This reverts commit 2223ca91b0cc559bb876e8e97372a8f77da1603e, reversing
changes made to eaee250a6e63e58dfef63fa30c4120db78223e24.

5 years agoMove neg-pol to pos-pol mapping from ff_map to cells_map.v
Eddie Hung [Sun, 28 Apr 2019 19:36:04 +0000 (12:36 -0700)]
Move neg-pol to pos-pol mapping from ff_map to cells_map.v

5 years agoBe more precise when connecting during ABC9 re-integration
Eddie Hung [Wed, 12 Jun 2019 23:04:33 +0000 (16:04 -0700)]
Be more precise when connecting during ABC9 re-integration

5 years agoRemove unnecessary undriven_bits.insert
Eddie Hung [Wed, 12 Jun 2019 22:55:02 +0000 (15:55 -0700)]
Remove unnecessary undriven_bits.insert

5 years agoRemove hacky wideports_split from abc9
Eddie Hung [Wed, 12 Jun 2019 22:52:49 +0000 (15:52 -0700)]
Remove hacky wideports_split from abc9

5 years agoFix compile errors when #if 1 for debug
Eddie Hung [Wed, 12 Jun 2019 22:47:39 +0000 (15:47 -0700)]
Fix compile errors when #if 1 for debug

5 years agoparse_xaiger to cope with inouts
Eddie Hung [Wed, 12 Jun 2019 22:45:46 +0000 (15:45 -0700)]
parse_xaiger to cope with inouts

5 years agowrite_xaiger to preserve POs even if driven by constant
Eddie Hung [Wed, 12 Jun 2019 22:44:30 +0000 (15:44 -0700)]
write_xaiger to preserve POs even if driven by constant

5 years agoAdd a couple more tests
Eddie Hung [Wed, 12 Jun 2019 22:43:43 +0000 (15:43 -0700)]
Add a couple more tests

5 years agoDo not call abc9 if no outputs
Eddie Hung [Wed, 12 Jun 2019 17:18:44 +0000 (10:18 -0700)]
Do not call abc9 if no outputs

5 years agoMore write_xaiger cleanup
Eddie Hung [Wed, 12 Jun 2019 17:00:57 +0000 (10:00 -0700)]
More write_xaiger cleanup

5 years agoCleanup write_xaiger
Eddie Hung [Wed, 12 Jun 2019 16:53:14 +0000 (09:53 -0700)]
Cleanup write_xaiger

5 years agoConsistency
Eddie Hung [Wed, 12 Jun 2019 16:40:51 +0000 (09:40 -0700)]
Consistency

5 years agoReduce diff with master
Eddie Hung [Wed, 12 Jun 2019 16:34:41 +0000 (09:34 -0700)]
Reduce diff with master

5 years agoRemove abc_flop{,_d} attributes from ice40/cells_sim.v
Eddie Hung [Wed, 12 Jun 2019 16:29:30 +0000 (09:29 -0700)]
Remove abc_flop{,_d} attributes from ice40/cells_sim.v

5 years agoFix spacing
Eddie Hung [Wed, 12 Jun 2019 16:21:52 +0000 (09:21 -0700)]
Fix spacing

5 years agoRemove wide mux inference
Eddie Hung [Wed, 12 Jun 2019 16:20:46 +0000 (09:20 -0700)]
Remove wide mux inference

5 years agoMerge branch 'xc7mux' into xaig
Eddie Hung [Wed, 12 Jun 2019 16:14:27 +0000 (09:14 -0700)]
Merge branch 'xc7mux' into xaig

5 years agoMerge branch 'xc7mux' of github.com:YosysHQ/yosys into xc7mux
Eddie Hung [Wed, 12 Jun 2019 16:14:12 +0000 (09:14 -0700)]
Merge branch 'xc7mux' of github.com:YosysHQ/yosys into xc7mux

5 years agoTypo: wire delay is -W argument
Eddie Hung [Wed, 12 Jun 2019 16:13:53 +0000 (09:13 -0700)]
Typo: wire delay is -W argument

5 years agoRevert "Merge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux"
Eddie Hung [Wed, 12 Jun 2019 16:05:02 +0000 (09:05 -0700)]
Revert "Merge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux"

This reverts commit a138381ac3f2c820d187f08531ffd823d6cbcfd5, reversing
changes made to b77c5da76919f7f99f171a0a2775896fbc8debc2.

5 years agoRevert "Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux"
Eddie Hung [Wed, 12 Jun 2019 16:04:31 +0000 (09:04 -0700)]
Revert "Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux"

This reverts commit eaee250a6e63e58dfef63fa30c4120db78223e24, reversing
changes made to 935df3569b4677ac38041ff01a2f67185681f4e3.

5 years agoRevert "Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux"
Eddie Hung [Wed, 12 Jun 2019 16:01:15 +0000 (09:01 -0700)]
Revert "Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux"

This reverts commit 2223ca91b0cc559bb876e8e97372a8f77da1603e, reversing
changes made to eaee250a6e63e58dfef63fa30c4120db78223e24.

5 years agoMerge remote-tracking branch 'origin/xc7mux' into xaig
Eddie Hung [Wed, 12 Jun 2019 15:52:46 +0000 (08:52 -0700)]
Merge remote-tracking branch 'origin/xc7mux' into xaig

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Wed, 12 Jun 2019 15:50:39 +0000 (08:50 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoRetry "Add "-W' wire delay arg to abc9, use from synth_xilinx"
Eddie Hung [Wed, 12 Jun 2019 15:49:15 +0000 (08:49 -0700)]
Retry "Add "-W' wire delay arg to abc9, use from synth_xilinx"

5 years agoRevert "Add "-W' wire delay arg to abc9, use from synth_xilinx"
Eddie Hung [Wed, 12 Jun 2019 15:48:45 +0000 (08:48 -0700)]
Revert "Add "-W' wire delay arg to abc9, use from synth_xilinx"

This reverts commit 2dffa4685b830313204f5d04314a14ed6ecac8ec.

5 years agoAdd "-W' wire delay arg to abc9, use from synth_xilinx
Eddie Hung [Wed, 12 Jun 2019 00:10:47 +0000 (17:10 -0700)]
Add "-W' wire delay arg to abc9, use from synth_xilinx

5 years agoRevert "Merge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux"
Eddie Hung [Tue, 11 Jun 2019 23:05:27 +0000 (16:05 -0700)]
Revert "Merge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux"

This reverts commit 5174082208ef9bea22ad1ba62622947375b3e83b, reversing
changes made to 54379f9872ba3abdf5328994abcf5abfc7288c6b.

5 years agoMerge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux
Eddie Hung [Tue, 11 Jun 2019 22:48:41 +0000 (15:48 -0700)]
Merge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux

5 years agoTry way that doesn't involve creating a new wire
Eddie Hung [Tue, 11 Jun 2019 22:48:20 +0000 (15:48 -0700)]
Try way that doesn't involve creating a new wire

5 years agoDisable dist RAM boxes due to comb loop
Eddie Hung [Tue, 11 Jun 2019 19:02:51 +0000 (12:02 -0700)]
Disable dist RAM boxes due to comb loop

5 years agoRemove #ifndef ABC
Eddie Hung [Tue, 11 Jun 2019 19:02:31 +0000 (12:02 -0700)]
Remove #ifndef ABC

5 years agoMerge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux
Eddie Hung [Mon, 10 Jun 2019 23:21:43 +0000 (16:21 -0700)]
Merge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux

5 years agoIf d_bit already in sigbit_chain_next, create extra wire
Eddie Hung [Mon, 10 Jun 2019 23:16:40 +0000 (16:16 -0700)]
If d_bit already in sigbit_chain_next, create extra wire

5 years agoAdd test
Eddie Hung [Mon, 10 Jun 2019 23:16:26 +0000 (16:16 -0700)]
Add test

5 years agoRevert "Revert "Move ff_map back after ABC for shregmap""
Eddie Hung [Mon, 10 Jun 2019 21:37:09 +0000 (14:37 -0700)]
Revert "Revert "Move ff_map back after ABC for shregmap""

This reverts commit e473e7456545d702c011ee7872956f94a8522865.

5 years agoRevert "Rename shregmap -tech xilinx -> xilinx_dynamic"
Eddie Hung [Mon, 10 Jun 2019 21:34:43 +0000 (14:34 -0700)]
Revert "Rename shregmap -tech xilinx -> xilinx_dynamic"

This reverts commit 94a5f4e60985fc1e3fea75eec85638fa29874bea.

5 years agoRevert "shregmap -tech xilinx_dynamic to work -params and -enpol"
Eddie Hung [Mon, 10 Jun 2019 21:34:16 +0000 (14:34 -0700)]
Revert "shregmap -tech xilinx_dynamic to work -params and -enpol"

This reverts commit 45d1bdf83ae6d51628e917b66f1b6043c8a3baee.

5 years agoRevert "Refactor to ShregmapTechXilinx7Static"
Eddie Hung [Mon, 10 Jun 2019 21:34:15 +0000 (14:34 -0700)]
Revert "Refactor to ShregmapTechXilinx7Static"

This reverts commit e1e37db86073e545269ff440da77f57135e8b155.

5 years agoRevert "Add -tech xilinx_static"
Eddie Hung [Mon, 10 Jun 2019 21:34:14 +0000 (14:34 -0700)]
Revert "Add -tech xilinx_static"

This reverts commit dfe9d95579ab98d7518d40e427af858243de4eb3.

5 years agoRevert "Continue support for ShregmapTechXilinx7Static"
Eddie Hung [Mon, 10 Jun 2019 21:34:14 +0000 (14:34 -0700)]
Revert "Continue support for ShregmapTechXilinx7Static"

This reverts commit 72eda94a66c8c4938a713c9ae49d560e6b33574f.

5 years agoRevert "shregmap -tech xilinx_static to handle INIT"
Eddie Hung [Mon, 10 Jun 2019 21:34:12 +0000 (14:34 -0700)]
Revert "shregmap -tech xilinx_static to handle INIT"

This reverts commit 935df3569b4677ac38041ff01a2f67185681f4e3.

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Mon, 10 Jun 2019 18:02:54 +0000 (11:02 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoAdd some more comments
Eddie Hung [Mon, 10 Jun 2019 17:27:55 +0000 (10:27 -0700)]
Add some more comments

5 years agoMerge pull request #1082 from corecode/u4k
David Shah [Mon, 10 Jun 2019 14:12:23 +0000 (15:12 +0100)]
Merge pull request #1082 from corecode/u4k

ice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4k

5 years agoice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4k
Simon Schubert [Mon, 10 Jun 2019 09:49:08 +0000 (11:49 +0200)]
ice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4k

5 years agoMerge pull request #1078 from YosysHQ/eddie/muxcover_costs
Clifford Wolf [Sat, 8 Jun 2019 09:31:19 +0000 (11:31 +0200)]
Merge pull request #1078 from YosysHQ/eddie/muxcover_costs

Allow muxcover costs to be changed

5 years agoUpdate CHANGELOG
Eddie Hung [Sat, 8 Jun 2019 00:00:36 +0000 (17:00 -0700)]
Update CHANGELOG

5 years agoComment out muxpack (currently broken)
Eddie Hung [Fri, 7 Jun 2019 23:58:57 +0000 (16:58 -0700)]
Comment out muxpack (currently broken)

5 years agoFine tune aigerparse
Eddie Hung [Fri, 7 Jun 2019 23:57:32 +0000 (16:57 -0700)]
Fine tune aigerparse

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 7 Jun 2019 23:15:19 +0000 (16:15 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoFix spacing from spaces to tabs
Eddie Hung [Fri, 7 Jun 2019 22:44:57 +0000 (15:44 -0700)]
Fix spacing from spaces to tabs

5 years agoMerge pull request #1079 from YosysHQ/eddie/fix_read_aiger
Clifford Wolf [Fri, 7 Jun 2019 21:13:34 +0000 (23:13 +0200)]
Merge pull request #1079 from YosysHQ/eddie/fix_read_aiger

Fix read_aiger to really get tested, and fix some uncovered read_aiger issues

5 years agoAdd read_aiger to CHANGELOG
Eddie Hung [Fri, 7 Jun 2019 20:12:48 +0000 (13:12 -0700)]
Add read_aiger to CHANGELOG

5 years agoFix spacing (entire file is wrong anyway, will fix later)
Eddie Hung [Fri, 7 Jun 2019 18:30:36 +0000 (11:30 -0700)]
Fix spacing (entire file is wrong anyway, will fix later)

5 years agoRemove unnecessary std::getline() for ASCII
Eddie Hung [Fri, 7 Jun 2019 18:28:25 +0000 (11:28 -0700)]
Remove unnecessary std::getline() for ASCII

5 years agoTest *.aag too, by using *.aig as reference
Eddie Hung [Fri, 7 Jun 2019 18:28:05 +0000 (11:28 -0700)]
Test *.aag too, by using *.aig as reference

5 years agoFix read_aiger -- create zero driver, fix init width, parse 'b'
Eddie Hung [Fri, 7 Jun 2019 18:07:15 +0000 (11:07 -0700)]
Fix read_aiger -- create zero driver, fix init width, parse 'b'

5 years agoUse ABC to convert from AIGER to Verilog
Eddie Hung [Fri, 7 Jun 2019 18:06:57 +0000 (11:06 -0700)]
Use ABC to convert from AIGER to Verilog

5 years agoUse ABC to convert AIGER to Verilog, then sat against Yosys
Eddie Hung [Fri, 7 Jun 2019 18:05:36 +0000 (11:05 -0700)]
Use ABC to convert AIGER to Verilog, then sat against Yosys

5 years agoAdd symbols to AIGER test inputs for ABC
Eddie Hung [Fri, 7 Jun 2019 18:05:25 +0000 (11:05 -0700)]
Add symbols to AIGER test inputs for ABC

5 years agoAllow muxcover costs to be changed
Eddie Hung [Fri, 7 Jun 2019 15:30:39 +0000 (08:30 -0700)]
Allow muxcover costs to be changed

5 years agoAllow muxcover costs to be changed
Eddie Hung [Fri, 7 Jun 2019 15:30:39 +0000 (08:30 -0700)]
Allow muxcover costs to be changed

5 years agoMerge pull request #1077 from YosysHQ/clifford/pr983
Clifford Wolf [Fri, 7 Jun 2019 11:39:46 +0000 (13:39 +0200)]
Merge pull request #1077 from YosysHQ/clifford/pr983

elaboration system tasks

5 years agoRename implicit_ports.sv test to implicit_ports.v
Clifford Wolf [Fri, 7 Jun 2019 11:12:25 +0000 (13:12 +0200)]
Rename implicit_ports.sv test to implicit_ports.v

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFixes and cleanups in AST_TECALL handling
Clifford Wolf [Fri, 7 Jun 2019 10:41:09 +0000 (12:41 +0200)]
Fixes and cleanups in AST_TECALL handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into clifford/pr983
Clifford Wolf [Fri, 7 Jun 2019 10:08:42 +0000 (12:08 +0200)]
Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into clifford/pr983

5 years agoMerge branch 'tux3-implicit_named_connection'
Clifford Wolf [Fri, 7 Jun 2019 09:53:46 +0000 (11:53 +0200)]
Merge branch 'tux3-implicit_named_connection'

5 years agoMerge pull request #1076 from thasti/centos7-build-fix
Clifford Wolf [Fri, 7 Jun 2019 09:48:33 +0000 (11:48 +0200)]
Merge pull request #1076 from thasti/centos7-build-fix

Fix pyosys-build on CentOS7

5 years agoCleanup tux3-implicit_named_connection
Clifford Wolf [Fri, 7 Jun 2019 09:46:16 +0000 (11:46 +0200)]
Cleanup tux3-implicit_named_connection

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'implicit_named_connection' of https://github.com/tux3/yosys into tux3...
Clifford Wolf [Fri, 7 Jun 2019 09:41:54 +0000 (11:41 +0200)]
Merge branch 'implicit_named_connection' of https://github.com/tux3/yosys into tux3-implicit_named_connection

5 years agoremove boost/log/exceptions.hpp from wrapper generator
Stefan Biereigel [Fri, 7 Jun 2019 07:47:33 +0000 (09:47 +0200)]
remove boost/log/exceptions.hpp from wrapper generator

5 years ago$__XILINX_MUX_ -> $__XILINX_SHIFTX
Eddie Hung [Thu, 6 Jun 2019 22:32:36 +0000 (15:32 -0700)]
$__XILINX_MUX_ -> $__XILINX_SHIFTX

5 years agoFix muxcover and its techmapping
Eddie Hung [Thu, 6 Jun 2019 22:31:18 +0000 (15:31 -0700)]
Fix muxcover and its techmapping

5 years agoRun muxpack and muxcover in synth_xilinx
Eddie Hung [Thu, 6 Jun 2019 21:43:08 +0000 (14:43 -0700)]
Run muxpack and muxcover in synth_xilinx

5 years agoRemove abc_flop attributes for now
Eddie Hung [Thu, 6 Jun 2019 21:35:38 +0000 (14:35 -0700)]
Remove abc_flop attributes for now