yosys.git
5 years agoMerge remote-tracking branch 'origin/xc7srl' into xc7mux
Eddie Hung [Mon, 22 Apr 2019 18:45:49 +0000 (11:45 -0700)]
Merge remote-tracking branch 'origin/xc7srl' into xc7mux

5 years agoUpdate help message
Eddie Hung [Mon, 22 Apr 2019 18:38:23 +0000 (11:38 -0700)]
Update help message

5 years agoMove 'shregmap -tech xilinx' into map_cells
Eddie Hung [Mon, 22 Apr 2019 17:45:39 +0000 (10:45 -0700)]
Move 'shregmap -tech xilinx' into map_cells

5 years agoMerge remote-tracking branch 'origin/master' into xc7srl
Eddie Hung [Mon, 22 Apr 2019 17:36:27 +0000 (10:36 -0700)]
Merge remote-tracking branch 'origin/master' into xc7srl

5 years agoMerge pull request #950 from whitequark/attrmap_remove_wildcard
Clifford Wolf [Mon, 22 Apr 2019 14:54:38 +0000 (16:54 +0200)]
Merge pull request #950 from whitequark/attrmap_remove_wildcard

attrmap: extend -remove to allow removing attributes with any value

5 years agoattrmap: extend -remove to allow removing attributes with any value.
whitequark [Mon, 22 Apr 2019 14:18:15 +0000 (14:18 +0000)]
attrmap: extend -remove to allow removing attributes with any value.

Currently, `-remove foo` would only remove an attribute `foo = ""`,
which doesn't work on an attribute like `src` that may have any
value. Extend `-remove` to handle both cases. `-remove foo=""` has
the old behavior, and `-remove foo` will remove the attribute with
whatever value it may have, which is still compatible with the old
behavior.

5 years agoSet ENABLE_LIBYOSYS=0 by default
Clifford Wolf [Mon, 22 Apr 2019 12:59:30 +0000 (14:59 +0200)]
Set ENABLE_LIBYOSYS=0 by default

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSet ENABLE_PYOSYS=0 by default
Clifford Wolf [Mon, 22 Apr 2019 12:49:17 +0000 (14:49 +0200)]
Set ENABLE_PYOSYS=0 by default

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #905 from christian-krieg/feature/python_bindings
Clifford Wolf [Mon, 22 Apr 2019 12:47:52 +0000 (14:47 +0200)]
Merge pull request #905 from christian-krieg/feature/python_bindings

Feature/python bindings

5 years agoMerge pull request #941 from Wren6991/sim_lib_io_clke
Clifford Wolf [Mon, 22 Apr 2019 07:11:13 +0000 (09:11 +0200)]
Merge pull request #941 from Wren6991/sim_lib_io_clke

ice40 cells_sim.v: update clock enable behaviour based on hardware experiments

5 years agoMerge branch 'dh73-master'
Clifford Wolf [Mon, 22 Apr 2019 07:10:07 +0000 (09:10 +0200)]
Merge branch 'dh73-master'

5 years agoMerge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master
Clifford Wolf [Mon, 22 Apr 2019 07:09:27 +0000 (09:09 +0200)]
Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master

5 years agoRe-added clean after techmap in synth_xilinx
Clifford Wolf [Mon, 22 Apr 2019 07:03:11 +0000 (09:03 +0200)]
Re-added clean after techmap in synth_xilinx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #916 from YosysHQ/map_cells_before_map_luts
Clifford Wolf [Mon, 22 Apr 2019 07:01:00 +0000 (09:01 +0200)]
Merge pull request #916 from YosysHQ/map_cells_before_map_luts

synth_xilinx to map_cells before map_luts

5 years agoMerge pull request #911 from mmicko/gowin-nobram
Clifford Wolf [Mon, 22 Apr 2019 06:58:09 +0000 (08:58 +0200)]
Merge pull request #911 from mmicko/gowin-nobram

Make nobram false by default for gowin

5 years agoMerge pull request #909 from zachjs/master
Clifford Wolf [Mon, 22 Apr 2019 06:51:34 +0000 (08:51 +0200)]
Merge pull request #909 from zachjs/master

support repeat loops with constant repeat counts outside of constant functions

5 years agoMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
Clifford Wolf [Mon, 22 Apr 2019 06:39:37 +0000 (08:39 +0200)]
Merge pull request #944 from YosysHQ/clifford/pmux2shiftx

Add pmux2shiftx command

5 years agoMerge pull request #945 from YosysHQ/clifford/libwb
Clifford Wolf [Mon, 22 Apr 2019 06:38:52 +0000 (08:38 +0200)]
Merge pull request #945 from YosysHQ/clifford/libwb

New behavior for read_verilog handling of whiteboxes

5 years agoDisable blackbox detection in techmap files
Clifford Wolf [Mon, 22 Apr 2019 00:07:36 +0000 (02:07 +0200)]
Disable blackbox detection in techmap files

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoTidy up, fix for -nosrl
Eddie Hung [Sun, 21 Apr 2019 22:33:03 +0000 (15:33 -0700)]
Tidy up, fix for -nosrl

5 years agoMerge branch 'map_cells_before_map_luts' into xc7srl
Eddie Hung [Sun, 21 Apr 2019 21:28:55 +0000 (14:28 -0700)]
Merge branch 'map_cells_before_map_luts' into xc7srl

5 years agoMerge branch 'master' into map_cells_before_map_luts
Eddie Hung [Sun, 21 Apr 2019 21:24:50 +0000 (14:24 -0700)]
Merge branch 'master' into map_cells_before_map_luts

5 years agoAdd comments
Eddie Hung [Sun, 21 Apr 2019 21:16:59 +0000 (14:16 -0700)]
Add comments

5 years agoUse new pmux2shiftx from #944, remove my old attempt
Eddie Hung [Sun, 21 Apr 2019 21:16:34 +0000 (14:16 -0700)]
Use new pmux2shiftx from #944, remove my old attempt

5 years agoice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments
Luke Wren [Wed, 17 Apr 2019 21:56:41 +0000 (22:56 +0100)]
ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments

5 years agoFix tests
Clifford Wolf [Sun, 21 Apr 2019 09:40:20 +0000 (11:40 +0200)]
Fix tests

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "noblackbox" attribute
Clifford Wolf [Sun, 21 Apr 2019 09:40:09 +0000 (11:40 +0200)]
Add "noblackbox" attribute

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge remote-tracking branch 'origin/clifford/pmux2shiftx' into xc7srl
Eddie Hung [Sun, 21 Apr 2019 00:24:33 +0000 (17:24 -0700)]
Merge remote-tracking branch 'origin/clifford/pmux2shiftx' into xc7srl

5 years agoMerge remote-tracking branch 'origin/master' into xc7srl
Eddie Hung [Sun, 21 Apr 2019 00:24:06 +0000 (17:24 -0700)]
Merge remote-tracking branch 'origin/master' into xc7srl

5 years agoNew behavior for front-end handling of whiteboxes
Clifford Wolf [Sat, 20 Apr 2019 20:24:50 +0000 (22:24 +0200)]
New behavior for front-end handling of whiteboxes

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #943 from YosysHQ/clifford/whitebox
Clifford Wolf [Sat, 20 Apr 2019 18:51:54 +0000 (20:51 +0200)]
Merge pull request #943 from YosysHQ/clifford/whitebox

[WIP] Add "whitebox" attribute, add "read_verilog -wb"

5 years agoMerge remote-tracking branch 'origin/pmux2shiftx' into xc7srl
Eddie Hung [Sat, 20 Apr 2019 17:44:01 +0000 (10:44 -0700)]
Merge remote-tracking branch 'origin/pmux2shiftx' into xc7srl

5 years agoMerge remote-tracking branch 'origin' into xc7srl
Eddie Hung [Sat, 20 Apr 2019 17:41:43 +0000 (10:41 -0700)]
Merge remote-tracking branch 'origin' into xc7srl

5 years agoAuto-initialize OnehotDatabase on-demand in pmux2shiftx.cc
Clifford Wolf [Sat, 20 Apr 2019 16:13:37 +0000 (18:13 +0200)]
Auto-initialize OnehotDatabase on-demand in pmux2shiftx.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "onehot" pass, improve "pmux2shiftx" onehot handling
Clifford Wolf [Sat, 20 Apr 2019 15:52:16 +0000 (17:52 +0200)]
Add "onehot" pass, improve "pmux2shiftx" onehot handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "techmap -wb", use in formal flows
Clifford Wolf [Sat, 20 Apr 2019 09:23:24 +0000 (11:23 +0200)]
Add "techmap -wb", use in formal flows

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoCheck blackbox attribute in techmap/simplemap
Clifford Wolf [Sat, 20 Apr 2019 09:10:05 +0000 (11:10 +0200)]
Check blackbox attribute in techmap/simplemap

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "wbflip" command
Clifford Wolf [Sat, 20 Apr 2019 09:04:46 +0000 (11:04 +0200)]
Add "wbflip" command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #942 from YosysHQ/clifford/fix931
Clifford Wolf [Sat, 20 Apr 2019 08:05:35 +0000 (10:05 +0200)]
Merge pull request #942 from YosysHQ/clifford/fix931

Improve proc full_case detection and handling

5 years agoImprove "pmux2shiftx"
Clifford Wolf [Sat, 20 Apr 2019 00:03:44 +0000 (02:03 +0200)]
Improve "pmux2shiftx"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix some typos
Clifford Wolf [Fri, 19 Apr 2019 23:18:07 +0000 (01:18 +0200)]
Fix some typos

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprovements in "pmux2shiftx"
Clifford Wolf [Fri, 19 Apr 2019 23:15:48 +0000 (01:15 +0200)]
Improvements in "pmux2shiftx"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprovements in pmux2shiftx
Clifford Wolf [Fri, 19 Apr 2019 21:37:11 +0000 (23:37 +0200)]
Improvements in pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd test for pmux2shiftx
Clifford Wolf [Fri, 19 Apr 2019 18:23:09 +0000 (20:23 +0200)]
Add test for pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove pmux2shift ctrl permutation finder
Clifford Wolf [Fri, 19 Apr 2019 18:20:08 +0000 (20:20 +0200)]
Improve pmux2shift ctrl permutation finder

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoComplete rewrite of pmux2shiftx
Clifford Wolf [Fri, 19 Apr 2019 16:10:12 +0000 (18:10 +0200)]
Complete rewrite of pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImport initial pmux2shiftx from eddieh
Clifford Wolf [Fri, 19 Apr 2019 12:03:05 +0000 (14:03 +0200)]
Import initial pmux2shiftx from eddieh

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove "show" handling of 0/1/X/Z padding
Clifford Wolf [Fri, 19 Apr 2019 22:37:43 +0000 (00:37 +0200)]
Improve "show" handling of 0/1/X/Z padding

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoChange "ne" to "neq" in btor2 output
Clifford Wolf [Fri, 19 Apr 2019 19:17:12 +0000 (21:17 +0200)]
Change "ne" to "neq" in btor2 output

we need to do this because they changed the parser:
https://github.com/Boolector/btor2tools/commit/e97fc9cedabadeec4f621de22096e514f862c690

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd tests/aiger/.gitignore
Clifford Wolf [Fri, 19 Apr 2019 12:04:12 +0000 (14:04 +0200)]
Add tests/aiger/.gitignore

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSpelling fixes
Eddie Hung [Thu, 11 Apr 2019 22:09:13 +0000 (15:09 -0700)]
Spelling fixes

5 years agoRevert "write_json to not write contents (cells/wires) of whiteboxes"
Eddie Hung [Fri, 19 Apr 2019 06:05:59 +0000 (23:05 -0700)]
Revert "write_json to not write contents (cells/wires) of whiteboxes"

This reverts commit 4ef03e19a8eafc324d3442f0642abf858071fdd4.

5 years agoUpdate to ABC 3709744
Clifford Wolf [Thu, 18 Apr 2019 16:51:36 +0000 (18:51 +0200)]
Update to ABC 3709744

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #917 from YosysHQ/eddie/fix_retime
Eddie Hung [Thu, 18 Apr 2019 17:56:41 +0000 (10:56 -0700)]
Merge pull request #917 from YosysHQ/eddie/fix_retime

Retime by default when abc -dff

5 years agowrite_json to not write contents (cells/wires) of whiteboxes
Eddie Hung [Thu, 18 Apr 2019 17:30:45 +0000 (10:30 -0700)]
write_json to not write contents (cells/wires) of whiteboxes

5 years agoIgnore 'whitebox' attr in flatten with "-wb" option
Eddie Hung [Thu, 18 Apr 2019 17:19:45 +0000 (10:19 -0700)]
Ignore 'whitebox' attr in flatten with "-wb" option

5 years agoFix abc's remap_name to not ignore [^0-9] when extracting sid
Eddie Hung [Thu, 18 Apr 2019 16:55:03 +0000 (09:55 -0700)]
Fix abc's remap_name to not ignore [^0-9] when extracting sid

5 years agoABC to call retime all the time
Eddie Hung [Thu, 18 Apr 2019 15:46:41 +0000 (08:46 -0700)]
ABC to call retime all the time

5 years agoAdd "whitebox" attribute, add "read_verilog -wb"
Clifford Wolf [Thu, 18 Apr 2019 15:42:12 +0000 (17:42 +0200)]
Add "whitebox" attribute, add "read_verilog -wb"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRevert "synth_* with -retime option now calls abc with -D 1 as well"
Eddie Hung [Thu, 18 Apr 2019 14:59:16 +0000 (07:59 -0700)]
Revert "synth_* with -retime option now calls abc with -D 1 as well"

This reverts commit 9a6da9a79a22e984ee3eec02caa230b66f10e11a.

5 years agoMerge branch 'master' into eddie/fix_retime
Eddie Hung [Thu, 18 Apr 2019 14:57:17 +0000 (07:57 -0700)]
Merge branch 'master' into eddie/fix_retime

5 years agoImprove proc full_case detection and handling, fixes #931
Clifford Wolf [Thu, 18 Apr 2019 13:07:43 +0000 (15:07 +0200)]
Improve proc full_case detection and handling, fixes #931

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUpdate to ABC d1b6413
Clifford Wolf [Wed, 17 Apr 2019 11:51:34 +0000 (13:51 +0200)]
Update to ABC d1b6413

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd MUXCY and XORCY to cells_box.v
Eddie Hung [Tue, 16 Apr 2019 21:53:28 +0000 (14:53 -0700)]
Add MUXCY and XORCY to cells_box.v

5 years agoFix wire numbering
Eddie Hung [Tue, 16 Apr 2019 21:53:01 +0000 (14:53 -0700)]
Fix wire numbering

5 years agoDo not put constants into output_bits
Eddie Hung [Tue, 16 Apr 2019 20:44:15 +0000 (13:44 -0700)]
Do not put constants into output_bits

5 years agoRemove write_verilog call
Eddie Hung [Tue, 16 Apr 2019 20:24:54 +0000 (13:24 -0700)]
Remove write_verilog call

5 years agoFix spacing
Eddie Hung [Tue, 16 Apr 2019 20:16:20 +0000 (13:16 -0700)]
Fix spacing

5 years agoMerge branch 'xaig' into xc7mux
Eddie Hung [Tue, 16 Apr 2019 20:15:53 +0000 (13:15 -0700)]
Merge branch 'xaig' into xc7mux

5 years agoRe-enable partsel.v test
Eddie Hung [Tue, 16 Apr 2019 20:10:35 +0000 (13:10 -0700)]
Re-enable partsel.v test

5 years agoabc9 to call "setundef -zero" behaving as for abc
Eddie Hung [Tue, 16 Apr 2019 20:10:13 +0000 (13:10 -0700)]
abc9 to call "setundef -zero" behaving as for abc

5 years agoNULL check before use
Eddie Hung [Tue, 16 Apr 2019 19:59:48 +0000 (12:59 -0700)]
NULL check before use

5 years agoWIP for box support
Eddie Hung [Tue, 16 Apr 2019 19:57:27 +0000 (12:57 -0700)]
WIP for box support

5 years agoABC to read_box before reading netlist
Eddie Hung [Tue, 16 Apr 2019 19:44:10 +0000 (12:44 -0700)]
ABC to read_box before reading netlist

5 years agoMake cells.box whiteboxes not blackboxes
Eddie Hung [Tue, 16 Apr 2019 19:43:14 +0000 (12:43 -0700)]
Make cells.box whiteboxes not blackboxes

5 years agoread_verilog cells_box.v before techmap
Eddie Hung [Tue, 16 Apr 2019 19:41:56 +0000 (12:41 -0700)]
read_verilog cells_box.v before techmap

5 years agoMerge pull request #939 from YosysHQ/revert895
Eddie Hung [Tue, 16 Apr 2019 18:59:21 +0000 (11:59 -0700)]
Merge pull request #939 from YosysHQ/revert895

Revert #895 (mux-to-shiftx optimisation)

5 years agosynth_xilinx: before abc read +/xilinx/cells_box.v
Eddie Hung [Tue, 16 Apr 2019 18:21:46 +0000 (11:21 -0700)]
synth_xilinx: before abc read +/xilinx/cells_box.v

5 years agoAdd +/xilinx/cells_box.v containing models for ABC boxes
Eddie Hung [Tue, 16 Apr 2019 18:21:03 +0000 (11:21 -0700)]
Add +/xilinx/cells_box.v containing models for ABC boxes

5 years agoFor 'stat' do not count modules with abc_box_id
Eddie Hung [Tue, 16 Apr 2019 18:19:54 +0000 (11:19 -0700)]
For 'stat' do not count modules with abc_box_id

5 years agoDo not call abc on modules with abc_box_id attr
Eddie Hung [Tue, 16 Apr 2019 18:19:42 +0000 (11:19 -0700)]
Do not call abc on modules with abc_box_id attr

5 years agoRevert "Add abc_box_id attribute to MUXF7/F8 cells"
Eddie Hung [Tue, 16 Apr 2019 18:14:59 +0000 (11:14 -0700)]
Revert "Add abc_box_id attribute to MUXF7/F8 cells"

This reverts commit 8fbbd9b129697152c93c35831c1d50982702a3ec.

5 years agoRevert #895
Eddie Hung [Tue, 16 Apr 2019 18:07:51 +0000 (11:07 -0700)]
Revert #895

5 years agoUse abc_box_id
Eddie Hung [Tue, 16 Apr 2019 05:27:36 +0000 (22:27 -0700)]
Use abc_box_id

5 years agoCheck abc_box_id attr
Eddie Hung [Tue, 16 Apr 2019 05:25:37 +0000 (22:25 -0700)]
Check abc_box_id attr

5 years agoAdd abc_box_id attribute to MUXF7/F8 cells
Eddie Hung [Tue, 16 Apr 2019 05:25:09 +0000 (22:25 -0700)]
Add abc_box_id attribute to MUXF7/F8 cells

5 years agoMerge branch 'xaig' into xc7mux
Eddie Hung [Tue, 16 Apr 2019 05:04:20 +0000 (22:04 -0700)]
Merge branch 'xaig' into xc7mux

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 16 Apr 2019 04:56:45 +0000 (21:56 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch
Eddie Hung [Tue, 16 Apr 2019 01:39:20 +0000 (18:39 -0700)]
Merge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch

Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoRevert "Recognise default entry in case even if all cases covered (fix for #931)"
Eddie Hung [Tue, 16 Apr 2019 00:52:45 +0000 (17:52 -0700)]
Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoMerge pull request #936 from YosysHQ/README-fix-quotes
Eddie Hung [Mon, 15 Apr 2019 19:22:05 +0000 (12:22 -0700)]
Merge pull request #936 from YosysHQ/README-fix-quotes

README: fix some incorrect quoting

5 years agoREADME: fix some incorrect quoting.
whitequark [Mon, 15 Apr 2019 14:29:46 +0000 (14:29 +0000)]
README: fix some incorrect quoting.

5 years agoGoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flow
Diego [Sat, 13 Apr 2019 04:40:02 +0000 (23:40 -0500)]
GoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flow

5 years agoForgot backslashes
Eddie Hung [Sat, 13 Apr 2019 01:22:44 +0000 (18:22 -0700)]
Forgot backslashes

5 years agoHandle __dummy_o__ and __const[01]__ in read_aiger not abc
Eddie Hung [Sat, 13 Apr 2019 01:21:16 +0000 (18:21 -0700)]
Handle __dummy_o__ and __const[01]__ in read_aiger not abc

5 years agoabc to ignore __dummy_o__ and __const[01]__ when re-integrating
Eddie Hung [Sat, 13 Apr 2019 01:16:50 +0000 (18:16 -0700)]
abc to ignore __dummy_o__ and __const[01]__ when re-integrating

5 years agoOutput __const0__ and __const1__ CIs
Eddie Hung [Sat, 13 Apr 2019 01:16:25 +0000 (18:16 -0700)]
Output __const0__ and __const1__ CIs

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Sat, 13 Apr 2019 00:09:24 +0000 (17:09 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoFix inout handling for -map option
Eddie Hung [Sat, 13 Apr 2019 00:02:24 +0000 (17:02 -0700)]
Fix inout handling for -map option

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Fri, 12 Apr 2019 23:31:12 +0000 (16:31 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig