litex.git
3 years agoMerge pull request #581 from antmicro/i2s_tx_fix
enjoy-digital [Wed, 1 Jul 2020 13:27:06 +0000 (15:27 +0200)]
Merge pull request #581 from antmicro/i2s_tx_fix

i2s: Fix the incorrect TX fifo almost empty offset

3 years agoi2s: Fix the incorrect TX fifo almost empty offset
Pawel Sagan [Thu, 25 Jun 2020 12:32:50 +0000 (14:32 +0200)]
i2s: Fix the incorrect TX fifo almost empty offset

3 years agosdcard: rename cd_sdcard to cd_sd to avoid unnecessary clock domain.
Florent Kermarrec [Wed, 1 Jul 2020 10:50:24 +0000 (12:50 +0200)]
sdcard: rename cd_sdcard to cd_sd to avoid unnecessary clock domain.

3 years agoliblitesdcard/sdcard: add SDCARD_MULTIPLE_BLOCK_SUPPORT define.
Florent Kermarrec [Wed, 1 Jul 2020 10:40:23 +0000 (12:40 +0200)]
liblitesdcard/sdcard: add SDCARD_MULTIPLE_BLOCK_SUPPORT define.

3 years agointegration/soc/add_sdcard: update SDEmulator.
Florent Kermarrec [Wed, 1 Jul 2020 10:39:56 +0000 (12:39 +0200)]
integration/soc/add_sdcard: update SDEmulator.

3 years agotools/litex_sim: cleanup cpu endianness.
Florent Kermarrec [Wed, 1 Jul 2020 07:47:10 +0000 (09:47 +0200)]
tools/litex_sim: cleanup cpu endianness.

3 years agoMerge pull request #580 from Dolu1990/patch-2
enjoy-digital [Wed, 1 Jul 2020 06:37:03 +0000 (08:37 +0200)]
Merge pull request #580 from Dolu1990/patch-2

Improve verilator compilation speed

3 years agoboards/platforms/versa_ecp5: fix mising update.
Florent Kermarrec [Wed, 1 Jul 2020 06:35:54 +0000 (08:35 +0200)]
boards/platforms/versa_ecp5: fix mising update.

3 years agotools/litex_crossover_uart: add host argument to connect to a remote server.
Florent Kermarrec [Tue, 30 Jun 2020 17:17:44 +0000 (19:17 +0200)]
tools/litex_crossover_uart: add host argument to connect to a remote server.

example: litex_server over PCIe running on a remote machine and create virtual uart on our local machine.

3 years agotargets: add identifier on all targets and update Versa ECP5.
Florent Kermarrec [Tue, 30 Jun 2020 16:32:11 +0000 (18:32 +0200)]
targets: add identifier on all targets and update Versa ECP5.

3 years agotools/remote/comm_pcie: use ctypes.c_uint32 to do 32-bit accesses and avoid double...
Florent Kermarrec [Tue, 30 Jun 2020 12:12:35 +0000 (14:12 +0200)]
tools/remote/comm_pcie: use ctypes.c_uint32 to do 32-bit accesses and avoid double writes/reads.

3 years agotools/litex_crossover_uart: add base_address argument (required when wishbone transla...
Florent Kermarrec [Tue, 30 Jun 2020 12:10:36 +0000 (14:10 +0200)]
tools/litex_crossover_uart: add base_address argument (required when wishbone translation).

3 years agoImprove verilator compilation speed
Dolu1990 [Tue, 30 Jun 2020 08:38:26 +0000 (10:38 +0200)]
Improve verilator compilation speed

by asking verilator to split the C++ model into multiple files.

3 years agosoftware/bios/Makefile: fix #578 merge. (get back #579).
Florent Kermarrec [Mon, 29 Jun 2020 15:01:36 +0000 (17:01 +0200)]
software/bios/Makefile: fix #578 merge. (get back #579).

3 years agosoftware/libbase/memtest: fix bus errors reporting.
Florent Kermarrec [Mon, 29 Jun 2020 07:51:34 +0000 (09:51 +0200)]
software/libbase/memtest: fix bus errors reporting.

3 years agoMerge pull request #578 from scanakci/blackparrot_litex
enjoy-digital [Mon, 29 Jun 2020 12:53:33 +0000 (14:53 +0200)]
Merge pull request #578 from scanakci/blackparrot_litex

Blackparrot litex syn with master BP, upgrade to IMA

3 years agoMerge branch 'master' into blackparrot_litex
enjoy-digital [Mon, 29 Jun 2020 12:53:13 +0000 (14:53 +0200)]
Merge branch 'master' into blackparrot_litex

3 years agoMerge pull request #579 from antmicro/fix_building_bios
enjoy-digital [Mon, 29 Jun 2020 12:52:37 +0000 (14:52 +0200)]
Merge pull request #579 from antmicro/fix_building_bios

Fix ordering of libraries

3 years agoclean Makefile
sadullah [Mon, 29 Jun 2020 01:23:56 +0000 (21:23 -0400)]
clean Makefile

3 years agominor change in BP top module
sadullah [Sun, 28 Jun 2020 17:10:24 +0000 (13:10 -0400)]
minor change in BP top module

3 years agosyn with master blackparrot, upgrade BP to IMA
sadullah [Sat, 27 Jun 2020 21:38:53 +0000 (17:38 -0400)]
syn with master blackparrot, upgrade BP to IMA

3 years agoMerge pull request #576 from betrusted-io/deprecate_slave
enjoy-digital [Sat, 27 Jun 2020 07:35:04 +0000 (09:35 +0200)]
Merge pull request #576 from betrusted-io/deprecate_slave

Deprecate slave terminology

3 years agoliblitesdcard/sdcard: update with litesdcard.
Florent Kermarrec [Fri, 26 Jun 2020 18:10:10 +0000 (20:10 +0200)]
liblitesdcard/sdcard: update with litesdcard.

3 years agointerconnect/axi/AXIStreamInterface: add tuser support.
Florent Kermarrec [Fri, 26 Jun 2020 06:36:16 +0000 (08:36 +0200)]
interconnect/axi/AXIStreamInterface: add tuser support.

3 years agoliblitesdcard/sdcard: increase busy_wait and use common timeout.
Florent Kermarrec [Thu, 25 Jun 2020 18:07:39 +0000 (20:07 +0200)]
liblitesdcard/sdcard: increase busy_wait and use common timeout.

3 years agoliblitesdcard/sdcard: decode cid only when SDCARD_DEBUG is set.
Florent Kermarrec [Thu, 25 Jun 2020 11:48:49 +0000 (13:48 +0200)]
liblitesdcard/sdcard: decode cid only when SDCARD_DEBUG is set.

3 years agoliblitesdcard/sdcard_read: enable multiple block read.
Florent Kermarrec [Thu, 25 Jun 2020 11:46:39 +0000 (13:46 +0200)]
liblitesdcard/sdcard_read: enable multiple block read.

>10MB/s read speed with a 25MHz clock.

3 years agoFix ordering of libraries
Mateusz Holenko [Thu, 25 Jun 2020 10:21:36 +0000 (12:21 +0200)]
Fix ordering of libraries

Since libcompiler_rt provides functions for other
libraries (e.g., __muldiv3), it must be listed
at the end.

3 years agotargets: add fixed sdcard clock on boards with SDCard support.
Florent Kermarrec [Thu, 25 Jun 2020 09:13:24 +0000 (11:13 +0200)]
targets: add fixed sdcard clock on boards with SDCard support.

3 years agosoftware/bios/litesdcard: remove sdcard_set_clk.
Florent Kermarrec [Thu, 25 Jun 2020 09:12:40 +0000 (11:12 +0200)]
software/bios/litesdcard: remove sdcard_set_clk.

3 years agointegration/soc/add_sdcard: remove sdclk.
Florent Kermarrec [Thu, 25 Jun 2020 09:12:17 +0000 (11:12 +0200)]
integration/soc/add_sdcard: remove sdclk.

3 years agoDeprecate slave terminology
bunnie [Thu, 25 Jun 2020 09:12:12 +0000 (17:12 +0800)]
Deprecate slave terminology

http://oshwa.org/a-resolution-to-redefine-spi-signal-names

3 years agocores/clock/S6DCM: add expose_drp.
Florent Kermarrec [Thu, 25 Jun 2020 08:11:42 +0000 (10:11 +0200)]
cores/clock/S6DCM: add expose_drp.

From LiteSDCard SDClockerS6.

3 years agosoftware/bios/boot: improve printfs.
Florent Kermarrec [Thu, 25 Jun 2020 07:58:08 +0000 (09:58 +0200)]
software/bios/boot: improve printfs.

3 years agosoftware/libase/memtest: improve printfs and add progress bar on data test.
Florent Kermarrec [Thu, 25 Jun 2020 07:57:29 +0000 (09:57 +0200)]
software/libase/memtest: improve printfs and add progress bar on data test.

3 years agolibbase/progress: reduce to 40 HASHES_PER_LINE.
Florent Kermarrec [Thu, 25 Jun 2020 07:56:13 +0000 (09:56 +0200)]
libbase/progress: reduce to 40 HASHES_PER_LINE.

3 years agosoftware/liblitedram: remove DDRPHY_CMD_DELAY support (no longer useful).
Florent Kermarrec [Thu, 25 Jun 2020 07:01:33 +0000 (09:01 +0200)]
software/liblitedram: remove DDRPHY_CMD_DELAY support (no longer useful).

3 years agosoftware/liblitedram/sdram: remove SRAM hack.
Florent Kermarrec [Thu, 25 Jun 2020 06:58:01 +0000 (08:58 +0200)]
software/liblitedram/sdram: remove SRAM hack.

We now have memtest bios functions to test memories and testing SRAM while used by the BIOS is probably not a good idea.

3 years agosoftware/libbase/memtest: reorder functions.
Florent Kermarrec [Thu, 25 Jun 2020 06:47:57 +0000 (08:47 +0200)]
software/libbase/memtest: reorder functions.

3 years agoMerge pull request #575 from antmicro/jboc/memtest
enjoy-digital [Thu, 25 Jun 2020 06:29:23 +0000 (08:29 +0200)]
Merge pull request #575 from antmicro/jboc/memtest

Move memtest from liblitedram to libbase

3 years agobios: move memtest from liblitedram to libbase
Jędrzej Boczar [Wed, 24 Jun 2020 10:21:34 +0000 (12:21 +0200)]
bios: move memtest from liblitedram to libbase

3 years agosoftware/liblitesdcard: simplify, switch to DMAs, remove clocking/test functions.
Florent Kermarrec [Wed, 24 Jun 2020 10:25:37 +0000 (12:25 +0200)]
software/liblitesdcard: simplify, switch to DMAs, remove clocking/test functions.

3 years agointegration/soc: replace SDDataReader/SDDataWriter with DMAs.
Florent Kermarrec [Wed, 24 Jun 2020 10:23:35 +0000 (12:23 +0200)]
integration/soc: replace SDDataReader/SDDataWriter with DMAs.

3 years agosoc/cores: add simple DMA with WishboneDMAReader/WishboneDMAWriter.
Florent Kermarrec [Wed, 24 Jun 2020 08:17:40 +0000 (10:17 +0200)]
soc/cores: add simple DMA with WishboneDMAReader/WishboneDMAWriter.

3 years agoplatforms/genesys2: add usb_fifo.
Florent Kermarrec [Tue, 23 Jun 2020 16:01:51 +0000 (18:01 +0200)]
platforms/genesys2: add usb_fifo.

3 years agolitex_term: keep and reduce inter-frame delay to 1e-5.
Florent Kermarrec [Tue, 23 Jun 2020 15:20:12 +0000 (17:20 +0200)]
litex_term: keep and reduce inter-frame delay to 1e-5.

Removing it completely would require revisiting the gateware/firmware code of the
UART. Since this is use for test purpose only and already allow > 600KB/s upload
speed, keeping it is acceptable.

3 years agosoc/cores/uart/FT245: only use Asynchronous FIFO (Synchronous FIFO requires a softwar...
Florent Kermarrec [Tue, 23 Jun 2020 14:53:02 +0000 (16:53 +0200)]
soc/cores/uart/FT245: only use Asynchronous FIFO (Synchronous FIFO requires a software configuration).

3 years agosoc/cores/usb_fifo: cleanup and reduce fifo_depth (provide similar throughput when...
Florent Kermarrec [Tue, 23 Jun 2020 14:51:24 +0000 (16:51 +0200)]
soc/cores/usb_fifo: cleanup and reduce fifo_depth (provide similar throughput when used as UART).

3 years agoCHANGES: update.
Florent Kermarrec [Tue, 23 Jun 2020 10:49:36 +0000 (12:49 +0200)]
CHANGES: update.

3 years agosoftware: use a single crt0 (deprecate crt0-ctr/crt0-xip) and avoid unnecessary defines.
Florent Kermarrec [Tue, 23 Jun 2020 10:23:26 +0000 (12:23 +0200)]
software: use a single crt0 (deprecate crt0-ctr/crt0-xip) and avoid unnecessary defines.

Since https://github.com/enjoy-digital/litex/issues/566, crt0-ctr and crt0-xip are now similiar
so we can get back to a single crt0 and remove the defines that were generated to distinguish
the different cases.

Since LiteX/MiSoC have diverged and are no longer compatible, we also no longer need to generate
the LiteX flag.

3 years agoplatforms/genesys2: use openocd_genesys2.cfg.
Florent Kermarrec [Tue, 23 Jun 2020 09:58:36 +0000 (11:58 +0200)]
platforms/genesys2: use openocd_genesys2.cfg.

3 years agoplatforms/kcu105: add sdcard/spisdcard.
Florent Kermarrec [Tue, 23 Jun 2020 09:54:33 +0000 (11:54 +0200)]
platforms/kcu105: add sdcard/spisdcard.

3 years agogenesys2: add sdcard/spisdcard.
Florent Kermarrec [Tue, 23 Jun 2020 09:54:16 +0000 (11:54 +0200)]
genesys2: add sdcard/spisdcard.

3 years agoplatforms/netv2: add spisdcard.
Florent Kermarrec [Tue, 23 Jun 2020 09:54:05 +0000 (11:54 +0200)]
platforms/netv2: add spisdcard.

3 years agoplatforms/k705: rename mmc to sdcard and make it similar to other boards.
Florent Kermarrec [Tue, 23 Jun 2020 08:57:43 +0000 (10:57 +0200)]
platforms/k705: rename mmc to sdcard and make it similar to other boards.

3 years agocpu/lm32: fix config include paths.
Florent Kermarrec [Tue, 23 Jun 2020 07:47:04 +0000 (09:47 +0200)]
cpu/lm32: fix config include paths.

Was broken since the switch to python data modules.

3 years agobios/main: enable sdcardboot in boot_sequence with litesdcard.
Florent Kermarrec [Mon, 22 Jun 2020 19:57:00 +0000 (21:57 +0200)]
bios/main: enable sdcardboot in boot_sequence with litesdcard.

3 years agosoftware/liblitesdcard/sdcard: boot with FatFs working (hacky).
Florent Kermarrec [Mon, 22 Jun 2020 19:33:17 +0000 (21:33 +0200)]
software/liblitesdcard/sdcard: boot with FatFs working (hacky).

Tested with Linux-on-LiteX-Vexriscv on Trellisboard with 10MHz clock.

3 years agocores/cpu/microwatt: revert setup stack and fix missing subi %r1,%r1,0x100 (thanks...
Florent Kermarrec [Mon, 22 Jun 2020 15:09:55 +0000 (17:09 +0200)]
cores/cpu/microwatt: revert setup stack and fix missing subi  %r1,%r1,0x100 (thanks ozbenh).

Tested with powerpc64le-buildroot-linux-gnu-gcc.br_real (Buildroot 2020.02-00011-g7ea8a52) 8.4.0.

3 years agowishbone/DownConverter: fix read datapath when access is skipped because sel = 0.
Florent Kermarrec [Mon, 22 Jun 2020 11:37:14 +0000 (13:37 +0200)]
wishbone/DownConverter: fix read datapath when access is skipped because sel = 0.

We also need to shift dat_r when acess is skipped.

3 years agocores/cpu/microwatt: temporary revert crt0.S/setup stack.
Florent Kermarrec [Mon, 22 Jun 2020 09:36:19 +0000 (11:36 +0200)]
cores/cpu/microwatt: temporary revert crt0.S/setup stack.

lxsim --cpu-type=microwatt --cpu-variant=standard+ghdl no longer working otherwise.

3 years agoMerge pull request #573 from ozbenh/bios-data
enjoy-digital [Mon, 22 Jun 2020 08:44:33 +0000 (10:44 +0200)]
Merge pull request #573 from ozbenh/bios-data

software/microwatt: Fix copying data to RAM and clearing BSS

3 years agosoftware/microwatt: Fix copying data to RAM and clearing BSS
Benjamin Herrenschmidt [Sun, 21 Jun 2020 09:35:04 +0000 (19:35 +1000)]
software/microwatt: Fix copying data to RAM and clearing BSS

This also makes us use the "small" memory model to avoid having to
use more complex constructs and adds the TOC to the linker script

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
3 years agotools/litex_server: set socket option flags separately (required for Mac OS X).
Florent Kermarrec [Sat, 20 Jun 2020 20:20:29 +0000 (22:20 +0200)]
tools/litex_server: set socket option flags separately (required for Mac OS X).

3 years agolitex_sim: simplify a bit ethernet+etherbone.
Florent Kermarrec [Sat, 20 Jun 2020 07:15:56 +0000 (09:15 +0200)]
litex_sim: simplify a bit ethernet+etherbone.

3 years agosoc/cores/spi: make cs/loopback CSR optional.
Florent Kermarrec [Fri, 19 Jun 2020 12:17:30 +0000 (14:17 +0200)]
soc/cores/spi: make cs/loopback CSR optional.

Useful for API retro-compatibility.

3 years agobios/boot: rewrite ROM boot description.
Florent Kermarrec [Fri, 12 Jun 2020 08:18:44 +0000 (10:18 +0200)]
bios/boot: rewrite ROM boot description.

3 years agoMerge pull request #569 from gsomlo/gls-mor1kx-data-init
enjoy-digital [Thu, 18 Jun 2020 06:43:20 +0000 (08:43 +0200)]
Merge pull request #569 from gsomlo/gls-mor1kx-data-init

cpu/mor1kx: fix .data initialization (follow-up to PR #567)

3 years agoMerge pull request #570 from gsomlo/gls-sdcard-lazy-init
enjoy-digital [Thu, 18 Jun 2020 06:42:44 +0000 (08:42 +0200)]
Merge pull request #570 from gsomlo/gls-sdcard-lazy-init

liblitesdcard/[spi]sdcard: avoid redundant (re-)initialization

3 years agoliblitesdcard/[spi]sdcard: avoid redundant (re-)initialization
Gabriel Somlo [Wed, 17 Jun 2020 21:21:33 +0000 (17:21 -0400)]
liblitesdcard/[spi]sdcard: avoid redundant (re-)initialization

3 years agocpu/mor1kx: fix .data initialization (follow-up to PR #567)
Gabriel Somlo [Wed, 17 Jun 2020 00:19:46 +0000 (20:19 -0400)]
cpu/mor1kx: fix .data initialization (follow-up to PR #567)

3 years agoMerge pull request #565 from gsomlo/gls-cosmetic-spi-fat
enjoy-digital [Tue, 16 Jun 2020 19:49:15 +0000 (21:49 +0200)]
Merge pull request #565 from gsomlo/gls-cosmetic-spi-fat

post-FatFs cleanup

3 years agoMerge pull request #567 from zyp/fix_data_segment
enjoy-digital [Tue, 16 Jun 2020 19:45:17 +0000 (21:45 +0200)]
Merge pull request #567 from zyp/fix_data_segment

bios/linker: Place .data in sram with initial copy in rom.

3 years agoplatforms/arty: move sdcard_pmod_io to JD.
Florent Kermarrec [Tue, 16 Jun 2020 18:17:15 +0000 (20:17 +0200)]
platforms/arty: move sdcard_pmod_io to JD.

3 years agoMerge pull request #568 from sergachev/master
enjoy-digital [Tue, 16 Jun 2020 15:34:50 +0000 (17:34 +0200)]
Merge pull request #568 from sergachev/master

build/sim/core/modules: fix compilation warnings

3 years agobuild/sim/core/modules: fix compilation warnings
Ilia Sergachev [Mon, 15 Jun 2020 23:06:11 +0000 (01:06 +0200)]
build/sim/core/modules: fix compilation warnings

3 years agoliblitesdcard/sdcard: streamline initialization (cosmetic)
Gabriel Somlo [Mon, 15 Jun 2020 19:31:37 +0000 (15:31 -0400)]
liblitesdcard/sdcard: streamline initialization (cosmetic)

Also, s/spisdcardstatus/sdcardstatus/g (this is *not* the SPI version).

3 years agoliblitesdcard/spisdcard: streamline initialization (cosmetic).
Gabriel Somlo [Sun, 14 Jun 2020 01:34:11 +0000 (21:34 -0400)]
liblitesdcard/spisdcard: streamline initialization (cosmetic).

3 years agosoc_core: Increase sram size default to 8k.
Vegard Storheil Eriksen [Mon, 15 Jun 2020 19:18:26 +0000 (21:18 +0200)]
soc_core: Increase sram size default to 8k.

3 years agobios/linker: Place .data in sram with initial copy in rom.
Vegard Storheil Eriksen [Mon, 15 Jun 2020 14:08:49 +0000 (16:08 +0200)]
bios/linker: Place .data in sram with initial copy in rom.

3 years agobios/linker: Place .got in .rodata.
Vegard Storheil Eriksen [Mon, 15 Jun 2020 14:04:02 +0000 (16:04 +0200)]
bios/linker: Place .got in .rodata.

3 years agobios/boot: addresses should use 'unsigned long'
Gabriel Somlo [Sun, 14 Jun 2020 01:31:12 +0000 (21:31 -0400)]
bios/boot: addresses should use 'unsigned long'

3 years agoCHANGES: update.
Florent Kermarrec [Thu, 11 Jun 2020 17:24:54 +0000 (19:24 +0200)]
CHANGES: update.

3 years agosoftware/spisdcard: reduce SPISDCARD_CLK_FREQ to 16MHz.
Florent Kermarrec [Thu, 11 Jun 2020 17:18:30 +0000 (19:18 +0200)]
software/spisdcard: reduce SPISDCARD_CLK_FREQ to 16MHz.

25MHz does not seem to work on all boards/configurations, needs to be investigated.

3 years agobuild: add DFUProg.
Florent Kermarrec [Thu, 11 Jun 2020 12:45:50 +0000 (14:45 +0200)]
build: add DFUProg.

3 years agobios/boot: simplify flashboot (remove specific linux boot).
Florent Kermarrec [Thu, 11 Jun 2020 11:38:38 +0000 (13:38 +0200)]
bios/boot: simplify flashboot (remove specific linux boot).

Storage in SPI Flash is generally limited and booting Linux from it is no longer very useful
since boot from SDCard is now supported. This is in the continuity of the SDCard/Ethernet
simplications to have an easier and more flexible boot scheme.

3 years agobios/boot: add separators, update copyrights.
Florent Kermarrec [Thu, 11 Jun 2020 11:19:37 +0000 (13:19 +0200)]
bios/boot: add separators, update copyrights.

3 years agobios/boot: make Ethernet boot mode flexible (now also using boot.json similarly to...
Florent Kermarrec [Thu, 11 Jun 2020 11:12:58 +0000 (13:12 +0200)]
bios/boot: make Ethernet boot mode flexible (now also using boot.json similarly to SDCard boot).

Example of boot.json:
{
"Image":        "0x40000000",
"rootfs.cpio":  "0x40800000",
"rv32.dtb":     "0x41000000",
"emulator.bin": "0x41100000"
}

3 years agobios/boot: make SDCard boot more flexible using a boot.json file on the SDCard.
Florent Kermarrec [Thu, 11 Jun 2020 09:15:31 +0000 (11:15 +0200)]
bios/boot: make SDCard boot more flexible using a boot.json file on the SDCard.

The BIOS now reads the boot.json file to know which files need to be copied to RAM and where.
It will fallback to boot.bin is no boot.json is found and boot will fail if neither is found.

Example of boot.json file used to boot Linux-On-LiteX-Vexriscv:
{
"Image":        "0x40000000",
"rootfs.cpio":  "0x40800000",
"rv32.dtb":     "0x41000000",
"emulator.bin": "0x41100000"
}

3 years agosoftware/bios/boot/sdcardboot: let FatFs do the SDCard initialization with disk_initi...
Florent Kermarrec [Thu, 11 Jun 2020 06:33:56 +0000 (08:33 +0200)]
software/bios/boot/sdcardboot: let FatFs do the SDCard initialization with disk_initialize.

3 years agosoftware/bios/boot: add sdcardboot support for VexRiscv SMP.
Florent Kermarrec [Wed, 10 Jun 2020 15:39:09 +0000 (17:39 +0200)]
software/bios/boot: add sdcardboot support for VexRiscv SMP.

3 years agosoftware/bios/main: clarify address space with @ instead of -.
Florent Kermarrec [Wed, 10 Jun 2020 13:19:44 +0000 (15:19 +0200)]
software/bios/main: clarify address space with @ instead of -.

3 years agolitex_setup.py: update microwatt.
Florent Kermarrec [Wed, 10 Jun 2020 13:03:09 +0000 (15:03 +0200)]
litex_setup.py: update microwatt.

3 years agoMerge pull request #564 from shenki/microwatt-updates
enjoy-digital [Wed, 10 Jun 2020 12:53:09 +0000 (14:53 +0200)]
Merge pull request #564 from shenki/microwatt-updates

Microwatt updates

3 years agoMerge pull request #562 from gsomlo/gls-crlf
enjoy-digital [Wed, 10 Jun 2020 12:40:28 +0000 (14:40 +0200)]
Merge pull request #562 from gsomlo/gls-crlf

liblitesdcard: maintain unix newline convention across all source files

3 years agosoftware/liblitesdcard/ffconf: enable FF_FS_MINIMIZE and FF_FS_TINY.
Florent Kermarrec [Wed, 10 Jun 2020 09:46:59 +0000 (11:46 +0200)]
software/liblitesdcard/ffconf: enable FF_FS_MINIMIZE and FF_FS_TINY.

3 years agosoftware/bios/boot: move f_mount to copy_image_from_sdcard_to_ram and force mount.
Florent Kermarrec [Wed, 10 Jun 2020 09:46:18 +0000 (11:46 +0200)]
software/bios/boot: move f_mount to copy_image_from_sdcard_to_ram and force mount.

3 years agosoftware/libliteeth/tftp: switch to progress bar.
Florent Kermarrec [Wed, 10 Jun 2020 08:00:05 +0000 (10:00 +0200)]
software/libliteeth/tftp: switch to progress bar.

3 years agobios/boot/copy_image_from_flash_to_ram: add missing init_progression_bar.
Florent Kermarrec [Wed, 10 Jun 2020 07:59:38 +0000 (09:59 +0200)]
bios/boot/copy_image_from_flash_to_ram: add missing init_progression_bar.

3 years agosoc/spisdcard: use 32-bit SPIMaster and do 32-bit xfers in spisdcardreceive_block...
Florent Kermarrec [Wed, 10 Jun 2020 07:50:30 +0000 (09:50 +0200)]
soc/spisdcard: use 32-bit SPIMaster and do 32-bit xfers in spisdcardreceive_block to optimize speed.