yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Mon, 22 Apr 2019 18:19:52 +0000 (11:19 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #952 from YosysHQ/clifford/fix370
Clifford Wolf [Mon, 22 Apr 2019 18:10:46 +0000 (20:10 +0200)]
Merge pull request #952 from YosysHQ/clifford/fix370

Determine correct signedness and expression width in for-loop unrolling

5 years agoMerge pull request #951 from YosysHQ/clifford/logdebug
Clifford Wolf [Mon, 22 Apr 2019 18:09:51 +0000 (20:09 +0200)]
Merge pull request #951 from YosysHQ/clifford/logdebug

Add log_debug() framework

5 years agoMerge pull request #949 from YosysHQ/clifford/pmux2shimprove
Clifford Wolf [Mon, 22 Apr 2019 18:01:43 +0000 (20:01 +0200)]
Merge pull request #949 from YosysHQ/clifford/pmux2shimprove

Add full_pmux feature to pmux2shiftx

5 years agoMerge pull request #953 from YosysHQ/clifford/fix948
Clifford Wolf [Mon, 22 Apr 2019 18:01:09 +0000 (20:01 +0200)]
Merge pull request #953 from YosysHQ/clifford/fix948

Add support for zero-width signals to Verilog back-end

5 years agoAdd support for zero-width signals to Verilog back-end, fixes #948
Clifford Wolf [Mon, 22 Apr 2019 17:44:10 +0000 (19:44 +0200)]
Add support for zero-width signals to Verilog back-end, fixes #948

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoDetermine correct signedness and expression width in for loop unrolling, fixes #370
Clifford Wolf [Mon, 22 Apr 2019 16:19:02 +0000 (18:19 +0200)]
Determine correct signedness and expression width in for loop unrolling, fixes #370

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd log_debug() framework
Clifford Wolf [Mon, 22 Apr 2019 15:25:52 +0000 (17:25 +0200)]
Add log_debug() framework

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #950 from whitequark/attrmap_remove_wildcard
Clifford Wolf [Mon, 22 Apr 2019 14:54:38 +0000 (16:54 +0200)]
Merge pull request #950 from whitequark/attrmap_remove_wildcard

attrmap: extend -remove to allow removing attributes with any value

5 years agoattrmap: extend -remove to allow removing attributes with any value.
whitequark [Mon, 22 Apr 2019 14:18:15 +0000 (14:18 +0000)]
attrmap: extend -remove to allow removing attributes with any value.

Currently, `-remove foo` would only remove an attribute `foo = ""`,
which doesn't work on an attribute like `src` that may have any
value. Extend `-remove` to handle both cases. `-remove foo=""` has
the old behavior, and `-remove foo` will remove the attribute with
whatever value it may have, which is still compatible with the old
behavior.

5 years agoUpdaye pmux2shiftx test
Clifford Wolf [Mon, 22 Apr 2019 14:17:43 +0000 (16:17 +0200)]
Updaye pmux2shiftx test

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd full_pmux feature to pmux2shiftx
Clifford Wolf [Mon, 22 Apr 2019 13:26:20 +0000 (15:26 +0200)]
Add full_pmux feature to pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSet ENABLE_LIBYOSYS=0 by default
Clifford Wolf [Mon, 22 Apr 2019 12:59:30 +0000 (14:59 +0200)]
Set ENABLE_LIBYOSYS=0 by default

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSet ENABLE_PYOSYS=0 by default
Clifford Wolf [Mon, 22 Apr 2019 12:49:17 +0000 (14:49 +0200)]
Set ENABLE_PYOSYS=0 by default

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #905 from christian-krieg/feature/python_bindings
Clifford Wolf [Mon, 22 Apr 2019 12:47:52 +0000 (14:47 +0200)]
Merge pull request #905 from christian-krieg/feature/python_bindings

Feature/python bindings

5 years agoMerge pull request #941 from Wren6991/sim_lib_io_clke
Clifford Wolf [Mon, 22 Apr 2019 07:11:13 +0000 (09:11 +0200)]
Merge pull request #941 from Wren6991/sim_lib_io_clke

ice40 cells_sim.v: update clock enable behaviour based on hardware experiments

5 years agoMerge branch 'dh73-master'
Clifford Wolf [Mon, 22 Apr 2019 07:10:07 +0000 (09:10 +0200)]
Merge branch 'dh73-master'

5 years agoMerge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master
Clifford Wolf [Mon, 22 Apr 2019 07:09:27 +0000 (09:09 +0200)]
Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master

5 years agoRe-added clean after techmap in synth_xilinx
Clifford Wolf [Mon, 22 Apr 2019 07:03:11 +0000 (09:03 +0200)]
Re-added clean after techmap in synth_xilinx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #916 from YosysHQ/map_cells_before_map_luts
Clifford Wolf [Mon, 22 Apr 2019 07:01:00 +0000 (09:01 +0200)]
Merge pull request #916 from YosysHQ/map_cells_before_map_luts

synth_xilinx to map_cells before map_luts

5 years agoMerge pull request #911 from mmicko/gowin-nobram
Clifford Wolf [Mon, 22 Apr 2019 06:58:09 +0000 (08:58 +0200)]
Merge pull request #911 from mmicko/gowin-nobram

Make nobram false by default for gowin

5 years agoMerge pull request #909 from zachjs/master
Clifford Wolf [Mon, 22 Apr 2019 06:51:34 +0000 (08:51 +0200)]
Merge pull request #909 from zachjs/master

support repeat loops with constant repeat counts outside of constant functions

5 years agoMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
Clifford Wolf [Mon, 22 Apr 2019 06:39:37 +0000 (08:39 +0200)]
Merge pull request #944 from YosysHQ/clifford/pmux2shiftx

Add pmux2shiftx command

5 years agoMerge pull request #945 from YosysHQ/clifford/libwb
Clifford Wolf [Mon, 22 Apr 2019 06:38:52 +0000 (08:38 +0200)]
Merge pull request #945 from YosysHQ/clifford/libwb

New behavior for read_verilog handling of whiteboxes

5 years agoMerge remote-tracking branch 'origin/clifford/libwb' into xaig
Eddie Hung [Mon, 22 Apr 2019 01:10:46 +0000 (18:10 -0700)]
Merge remote-tracking branch 'origin/clifford/libwb' into xaig

5 years agoDisable blackbox detection in techmap files
Clifford Wolf [Mon, 22 Apr 2019 00:07:36 +0000 (02:07 +0200)]
Disable blackbox detection in techmap files

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoConvert to use #945
Eddie Hung [Sun, 21 Apr 2019 22:19:02 +0000 (15:19 -0700)]
Convert to use #945

5 years agoMerge remote-tracking branch 'origin/clifford/libwb' into xaig
Eddie Hung [Sun, 21 Apr 2019 21:49:18 +0000 (14:49 -0700)]
Merge remote-tracking branch 'origin/clifford/libwb' into xaig

5 years agoMerge branch 'master' into map_cells_before_map_luts
Eddie Hung [Sun, 21 Apr 2019 21:24:50 +0000 (14:24 -0700)]
Merge branch 'master' into map_cells_before_map_luts

5 years agoice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments
Luke Wren [Wed, 17 Apr 2019 21:56:41 +0000 (22:56 +0100)]
ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments

5 years agoFix tests
Clifford Wolf [Sun, 21 Apr 2019 09:40:20 +0000 (11:40 +0200)]
Fix tests

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "noblackbox" attribute
Clifford Wolf [Sun, 21 Apr 2019 09:40:09 +0000 (11:40 +0200)]
Add "noblackbox" attribute

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoNew behavior for front-end handling of whiteboxes
Clifford Wolf [Sat, 20 Apr 2019 20:24:50 +0000 (22:24 +0200)]
New behavior for front-end handling of whiteboxes

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Sat, 20 Apr 2019 19:23:49 +0000 (12:23 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #943 from YosysHQ/clifford/whitebox
Clifford Wolf [Sat, 20 Apr 2019 18:51:54 +0000 (20:51 +0200)]
Merge pull request #943 from YosysHQ/clifford/whitebox

[WIP] Add "whitebox" attribute, add "read_verilog -wb"

5 years agoAuto-initialize OnehotDatabase on-demand in pmux2shiftx.cc
Clifford Wolf [Sat, 20 Apr 2019 16:13:37 +0000 (18:13 +0200)]
Auto-initialize OnehotDatabase on-demand in pmux2shiftx.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "onehot" pass, improve "pmux2shiftx" onehot handling
Clifford Wolf [Sat, 20 Apr 2019 15:52:16 +0000 (17:52 +0200)]
Add "onehot" pass, improve "pmux2shiftx" onehot handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "techmap -wb", use in formal flows
Clifford Wolf [Sat, 20 Apr 2019 09:23:24 +0000 (11:23 +0200)]
Add "techmap -wb", use in formal flows

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoCheck blackbox attribute in techmap/simplemap
Clifford Wolf [Sat, 20 Apr 2019 09:10:05 +0000 (11:10 +0200)]
Check blackbox attribute in techmap/simplemap

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "wbflip" command
Clifford Wolf [Sat, 20 Apr 2019 09:04:46 +0000 (11:04 +0200)]
Add "wbflip" command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #942 from YosysHQ/clifford/fix931
Clifford Wolf [Sat, 20 Apr 2019 08:05:35 +0000 (10:05 +0200)]
Merge pull request #942 from YosysHQ/clifford/fix931

Improve proc full_case detection and handling

5 years agoABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
Eddie Hung [Sat, 20 Apr 2019 04:09:55 +0000 (21:09 -0700)]
ABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set

5 years agoImprove "pmux2shiftx"
Clifford Wolf [Sat, 20 Apr 2019 00:03:44 +0000 (02:03 +0200)]
Improve "pmux2shiftx"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix some typos
Clifford Wolf [Fri, 19 Apr 2019 23:18:07 +0000 (01:18 +0200)]
Fix some typos

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprovements in "pmux2shiftx"
Clifford Wolf [Fri, 19 Apr 2019 23:15:48 +0000 (01:15 +0200)]
Improvements in "pmux2shiftx"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSelect to find union of both sets on stack
Eddie Hung [Fri, 19 Apr 2019 22:47:53 +0000 (15:47 -0700)]
Select to find union of both sets on stack

5 years agoFixes for simple_abc9 tests
Eddie Hung [Fri, 19 Apr 2019 22:47:36 +0000 (15:47 -0700)]
Fixes for simple_abc9 tests

5 years agoImprovements in pmux2shiftx
Clifford Wolf [Fri, 19 Apr 2019 21:37:11 +0000 (23:37 +0200)]
Improvements in pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd test for pmux2shiftx
Clifford Wolf [Fri, 19 Apr 2019 18:23:09 +0000 (20:23 +0200)]
Add test for pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove pmux2shift ctrl permutation finder
Clifford Wolf [Fri, 19 Apr 2019 18:20:08 +0000 (20:20 +0200)]
Improve pmux2shift ctrl permutation finder

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoComplete rewrite of pmux2shiftx
Clifford Wolf [Fri, 19 Apr 2019 16:10:12 +0000 (18:10 +0200)]
Complete rewrite of pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImport initial pmux2shiftx from eddieh
Clifford Wolf [Fri, 19 Apr 2019 12:03:05 +0000 (14:03 +0200)]
Import initial pmux2shiftx from eddieh

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove "show" handling of 0/1/X/Z padding
Clifford Wolf [Fri, 19 Apr 2019 22:37:43 +0000 (00:37 +0200)]
Improve "show" handling of 0/1/X/Z padding

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoChange "ne" to "neq" in btor2 output
Clifford Wolf [Fri, 19 Apr 2019 19:17:12 +0000 (21:17 +0200)]
Change "ne" to "neq" in btor2 output

we need to do this because they changed the parser:
https://github.com/Boolector/btor2tools/commit/e97fc9cedabadeec4f621de22096e514f862c690

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoDo not assume inst_module is always present
Eddie Hung [Fri, 19 Apr 2019 15:44:53 +0000 (08:44 -0700)]
Do not assume inst_module is always present

5 years agoignore_boxes -> holes_mode
Eddie Hung [Fri, 19 Apr 2019 15:37:10 +0000 (08:37 -0700)]
ignore_boxes -> holes_mode

5 years agoMake SB_DFF whitebox
Eddie Hung [Fri, 19 Apr 2019 15:36:38 +0000 (08:36 -0700)]
Make SB_DFF whitebox

5 years agoAdd tests/aiger/.gitignore
Clifford Wolf [Fri, 19 Apr 2019 12:04:12 +0000 (14:04 +0200)]
Add tests/aiger/.gitignore

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSpelling fixes
Eddie Hung [Thu, 11 Apr 2019 22:09:13 +0000 (15:09 -0700)]
Spelling fixes

5 years agoFix SB_DFF comb model
Eddie Hung [Fri, 19 Apr 2019 06:07:16 +0000 (23:07 -0700)]
Fix SB_DFF comb model

5 years agoRevert "write_json to not write contents (cells/wires) of whiteboxes"
Eddie Hung [Fri, 19 Apr 2019 06:05:59 +0000 (23:05 -0700)]
Revert "write_json to not write contents (cells/wires) of whiteboxes"

This reverts commit 4ef03e19a8eafc324d3442f0642abf858071fdd4.

5 years agoMissing close bracket
Eddie Hung [Fri, 19 Apr 2019 00:50:11 +0000 (17:50 -0700)]
Missing close bracket

5 years agoAnnotate SB_DFF* with abc_flop and abc_box_id
Eddie Hung [Fri, 19 Apr 2019 00:46:53 +0000 (17:46 -0700)]
Annotate SB_DFF* with abc_flop and abc_box_id

5 years agoAdd SB_DFF* to boxes
Eddie Hung [Fri, 19 Apr 2019 00:46:32 +0000 (17:46 -0700)]
Add SB_DFF* to boxes

5 years agoAdd flop support for write_xaiger
Eddie Hung [Fri, 19 Apr 2019 00:43:13 +0000 (17:43 -0700)]
Add flop support for write_xaiger

5 years agoread_aiger to parse 'r' extension
Eddie Hung [Fri, 19 Apr 2019 00:39:36 +0000 (17:39 -0700)]
read_aiger to parse 'r' extension

5 years agoSpelling
Eddie Hung [Fri, 19 Apr 2019 00:35:16 +0000 (17:35 -0700)]
Spelling

5 years agoUpdate to ABC 3709744
Clifford Wolf [Thu, 18 Apr 2019 16:51:36 +0000 (18:51 +0200)]
Update to ABC 3709744

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #917 from YosysHQ/eddie/fix_retime
Eddie Hung [Thu, 18 Apr 2019 17:56:41 +0000 (10:56 -0700)]
Merge pull request #917 from YosysHQ/eddie/fix_retime

Retime by default when abc -dff

5 years agoUse new -wb flag for ABC flow
Eddie Hung [Thu, 18 Apr 2019 17:32:41 +0000 (10:32 -0700)]
Use new -wb flag for ABC flow

5 years agowrite_json to not write contents (cells/wires) of whiteboxes
Eddie Hung [Thu, 18 Apr 2019 17:30:45 +0000 (10:30 -0700)]
write_json to not write contents (cells/wires) of whiteboxes

5 years agoIgnore 'whitebox' attr in flatten with "-wb" option
Eddie Hung [Thu, 18 Apr 2019 17:19:45 +0000 (10:19 -0700)]
Ignore 'whitebox' attr in flatten with "-wb" option

5 years agowrite_json to not write contents (cells/wires) of whiteboxes
Eddie Hung [Thu, 18 Apr 2019 17:30:45 +0000 (10:30 -0700)]
write_json to not write contents (cells/wires) of whiteboxes

5 years agoIgnore 'whitebox' attr in flatten with "-wb" option
Eddie Hung [Thu, 18 Apr 2019 17:19:45 +0000 (10:19 -0700)]
Ignore 'whitebox' attr in flatten with "-wb" option

5 years agoAlso update Makefile.inc
Eddie Hung [Thu, 18 Apr 2019 16:58:34 +0000 (09:58 -0700)]
Also update Makefile.inc

5 years agoFix abc's remap_name to not ignore [^0-9] when extracting sid
Eddie Hung [Thu, 18 Apr 2019 16:55:03 +0000 (09:55 -0700)]
Fix abc's remap_name to not ignore [^0-9] when extracting sid

5 years agoMake SB_LUT4 a blackbox
Eddie Hung [Thu, 18 Apr 2019 16:05:22 +0000 (09:05 -0700)]
Make SB_LUT4 a blackbox

5 years agoFix rename
Eddie Hung [Thu, 18 Apr 2019 16:04:34 +0000 (09:04 -0700)]
Fix rename

5 years agoRename to abc_*.{box,lut}
Eddie Hung [Thu, 18 Apr 2019 16:02:58 +0000 (09:02 -0700)]
Rename to abc_*.{box,lut}

5 years agoMerge remote-tracking branch 'origin/clifford/whitebox' into xaig
Eddie Hung [Thu, 18 Apr 2019 16:00:06 +0000 (09:00 -0700)]
Merge remote-tracking branch 'origin/clifford/whitebox' into xaig

5 years agoABC to call retime all the time
Eddie Hung [Thu, 18 Apr 2019 15:46:41 +0000 (08:46 -0700)]
ABC to call retime all the time

5 years agoAdd "whitebox" attribute, add "read_verilog -wb"
Clifford Wolf [Thu, 18 Apr 2019 15:42:12 +0000 (17:42 +0200)]
Add "whitebox" attribute, add "read_verilog -wb"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRevert "synth_* with -retime option now calls abc with -D 1 as well"
Eddie Hung [Thu, 18 Apr 2019 14:59:16 +0000 (07:59 -0700)]
Revert "synth_* with -retime option now calls abc with -D 1 as well"

This reverts commit 9a6da9a79a22e984ee3eec02caa230b66f10e11a.

5 years agoMerge branch 'master' into eddie/fix_retime
Eddie Hung [Thu, 18 Apr 2019 14:57:17 +0000 (07:57 -0700)]
Merge branch 'master' into eddie/fix_retime

5 years agoImprove proc full_case detection and handling, fixes #931
Clifford Wolf [Thu, 18 Apr 2019 13:07:43 +0000 (15:07 +0200)]
Improve proc full_case detection and handling, fixes #931

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSkip if abc_box_id earlier
Eddie Hung [Wed, 17 Apr 2019 23:36:03 +0000 (16:36 -0700)]
Skip if abc_box_id earlier

5 years agoRemove use of abc_box_id in stat
Eddie Hung [Wed, 17 Apr 2019 23:35:27 +0000 (16:35 -0700)]
Remove use of abc_box_id in stat

5 years agoFix $anyseq warning and cleanup
Eddie Hung [Wed, 17 Apr 2019 23:03:29 +0000 (16:03 -0700)]
Fix $anyseq warning and cleanup

5 years agoUpdate Makefile.inc too
Eddie Hung [Wed, 17 Apr 2019 22:19:48 +0000 (15:19 -0700)]
Update Makefile.inc too

5 years agoReduce to three devices: hx, lp, u
Eddie Hung [Wed, 17 Apr 2019 22:19:02 +0000 (15:19 -0700)]
Reduce to three devices: hx, lp, u

5 years agoDo not print slack histogram
Eddie Hung [Wed, 17 Apr 2019 22:11:14 +0000 (15:11 -0700)]
Do not print slack histogram

5 years agoAdd up5k timings
Eddie Hung [Wed, 17 Apr 2019 22:10:39 +0000 (15:10 -0700)]
Add up5k timings

5 years agoFix grammar
Eddie Hung [Wed, 17 Apr 2019 22:10:22 +0000 (15:10 -0700)]
Fix grammar

5 years agoUpdate error message
Eddie Hung [Wed, 17 Apr 2019 22:07:44 +0000 (15:07 -0700)]
Update error message

5 years agoAdd "-device" argument to synth_ice40
Eddie Hung [Wed, 17 Apr 2019 22:04:46 +0000 (15:04 -0700)]
Add "-device" argument to synth_ice40

5 years agoMissing abc_flop_q attribute on SPRAM
Eddie Hung [Wed, 17 Apr 2019 21:44:08 +0000 (14:44 -0700)]
Missing abc_flop_q attribute on SPRAM

5 years agoCope with inout ports
Eddie Hung [Wed, 17 Apr 2019 21:43:45 +0000 (14:43 -0700)]
Cope with inout ports

5 years agoMap to SB_LUT4 from fastest input first
Eddie Hung [Wed, 17 Apr 2019 20:01:17 +0000 (13:01 -0700)]
Map to SB_LUT4 from fastest input first

5 years agoWorking ABC9 script
Eddie Hung [Wed, 17 Apr 2019 19:33:32 +0000 (12:33 -0700)]
Working ABC9 script

5 years agoStop topological sort at abc_flop_q
Eddie Hung [Wed, 17 Apr 2019 19:28:19 +0000 (12:28 -0700)]
Stop topological sort at abc_flop_q