yosys.git
4 years agoMerge https://github.com/bogdanvuk/yosys into bogdanvuk/opt_share
Eddie Hung [Fri, 16 Aug 2019 20:40:29 +0000 (13:40 -0700)]
Merge https://github.com/bogdanvuk/yosys into bogdanvuk/opt_share

4 years agoMerge pull request #1302 from mmicko/dfflibmap_regression
Clifford Wolf [Fri, 16 Aug 2019 12:26:58 +0000 (14:26 +0200)]
Merge pull request #1302 from mmicko/dfflibmap_regression

DFFLIBMAP pass regression fix

4 years agoDo not use Verific in tests/various/write_gzip.ys
Clifford Wolf [Fri, 16 Aug 2019 12:22:46 +0000 (14:22 +0200)]
Do not use Verific in tests/various/write_gzip.ys

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoRegression in abc9
Miodrag Milanovic [Fri, 16 Aug 2019 11:21:11 +0000 (13:21 +0200)]
Regression in abc9

4 years agoJust needed IDs to be IdString
Miodrag Milanovic [Fri, 16 Aug 2019 08:22:04 +0000 (10:22 +0200)]
Just needed IDs to be IdString

4 years agoAdd missing NMUX to "abc -g" handling
Clifford Wolf [Fri, 16 Aug 2019 08:36:11 +0000 (10:36 +0200)]
Add missing NMUX to "abc -g" handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1299 from YosysHQ/eddie/cleanup2
Clifford Wolf [Thu, 15 Aug 2019 20:56:32 +0000 (22:56 +0200)]
Merge pull request #1299 from YosysHQ/eddie/cleanup2

More cleanup, more use of ID() inside passes/techmap

4 years agoFix
Eddie Hung [Thu, 15 Aug 2019 18:25:42 +0000 (11:25 -0700)]
Fix

4 years agoChange signature of parse_blif to take IdString
Eddie Hung [Thu, 15 Aug 2019 17:26:24 +0000 (10:26 -0700)]
Change signature of parse_blif to take IdString

4 years agoID(\\.*) -> ID(.*)
Eddie Hung [Thu, 15 Aug 2019 17:25:54 +0000 (10:25 -0700)]
ID(\\.*) -> ID(.*)

4 years agoConvert a few more to ID
Eddie Hung [Thu, 15 Aug 2019 17:24:35 +0000 (10:24 -0700)]
Convert a few more to ID

4 years agoTransform all "\\*" identifiers into ID()
Eddie Hung [Thu, 15 Aug 2019 17:19:29 +0000 (10:19 -0700)]
Transform all "\\*" identifiers into ID()

4 years agoTransform "$.*" to ID("$.*") in passes/techmap
Eddie Hung [Thu, 15 Aug 2019 17:05:08 +0000 (10:05 -0700)]
Transform "$.*" to ID("$.*") in passes/techmap

4 years agoMore use of IdString::in()
Eddie Hung [Thu, 15 Aug 2019 16:23:57 +0000 (09:23 -0700)]
More use of IdString::in()

4 years agoMerge pull request #1297 from YosysHQ/eddie/fix_1284_again
Eddie Hung [Thu, 15 Aug 2019 14:49:02 +0000 (07:49 -0700)]
Merge pull request #1297 from YosysHQ/eddie/fix_1284_again

extract_fa: Un-inverting AND with an inverted input also inverts input to X{,N}OR

4 years agoMerge remote-tracking branch 'origin/master' into eddie/fix_1284_again
Eddie Hung [Thu, 15 Aug 2019 13:48:40 +0000 (06:48 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/fix_1284_again

4 years agoMerge pull request #1275 from YosysHQ/clifford/ids
Clifford Wolf [Thu, 15 Aug 2019 10:03:16 +0000 (12:03 +0200)]
Merge pull request #1275 from YosysHQ/clifford/ids

New ID() macro and now also use it

4 years agoMerge branch 'master' into clifford/ids
Clifford Wolf [Thu, 15 Aug 2019 08:22:59 +0000 (10:22 +0200)]
Merge branch 'master' into clifford/ids

4 years agoMerge pull request #1295 from YosysHQ/eddie/fix_travis
Clifford Wolf [Thu, 15 Aug 2019 08:20:22 +0000 (10:20 +0200)]
Merge pull request #1295 from YosysHQ/eddie/fix_travis

Fix Travis CI

4 years agoAND with an inverted input, causes X{,N}OR output to be inverted too
Eddie Hung [Wed, 14 Aug 2019 23:26:24 +0000 (16:26 -0700)]
AND with an inverted input, causes X{,N}OR output to be inverted too

4 years agoRevert "Only sort leaves on non-ANDNOT/ORNOT cells"
Eddie Hung [Wed, 14 Aug 2019 22:23:25 +0000 (15:23 -0700)]
Revert "Only sort leaves on non-ANDNOT/ORNOT cells"

This reverts commit 5ec5f6dec7d4cdcfd9e1a2cda999886605778400.

4 years agoRevert earliest to gcc-4.8, compile iverilog with default compiler
Eddie Hung [Wed, 14 Aug 2019 19:28:17 +0000 (12:28 -0700)]
Revert earliest to gcc-4.8, compile iverilog with default compiler

4 years agoRevert "Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!"
Eddie Hung [Wed, 14 Aug 2019 19:26:45 +0000 (12:26 -0700)]
Revert "Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!"

This reverts commit c82b2fa31f8965be2680c87af6cd9ac5d26ead4d.

4 years agoRemove .0 from clang-8.0
Eddie Hung [Wed, 14 Aug 2019 19:23:15 +0000 (12:23 -0700)]
Remove .0 from clang-8.0

4 years agoBump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!
Eddie Hung [Wed, 14 Aug 2019 19:16:02 +0000 (12:16 -0700)]
Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!

4 years agobionic -> xenial as its on whitelist
Eddie Hung [Wed, 14 Aug 2019 18:52:08 +0000 (11:52 -0700)]
bionic -> xenial as its on whitelist

4 years agoBump gcc from 4.8 to 4.9 as undefined reference
Eddie Hung [Wed, 14 Aug 2019 18:26:32 +0000 (11:26 -0700)]
Bump gcc from 4.8 to 4.9 as undefined reference

... to `__warn_memset_zero_len'.
Also remove gcc-6, bump gcc-7 to gcc-9, clang from 5.0 to 8.0

4 years agoOnly sort leaves on non-ANDNOT/ORNOT cells
Eddie Hung [Wed, 14 Aug 2019 18:25:56 +0000 (11:25 -0700)]
Only sort leaves on non-ANDNOT/ORNOT cells

4 years agoMerge pull request #1294 from YosysHQ/revert-1288-eddie/fix_1284
Eddie Hung [Wed, 14 Aug 2019 17:42:18 +0000 (10:42 -0700)]
Merge pull request #1294 from YosysHQ/revert-1288-eddie/fix_1284

Revert "Since $_ANDNOT_ is not symmetric, do not sort leaves"

4 years agoRevert "Since $_ANDNOT_ is not symmetric, do not sort leaves"
Eddie Hung [Wed, 14 Aug 2019 17:40:53 +0000 (10:40 -0700)]
Revert "Since $_ANDNOT_ is not symmetric, do not sort leaves"

4 years agoMerge pull request #1288 from YosysHQ/eddie/fix_1284
Eddie Hung [Tue, 13 Aug 2019 16:06:11 +0000 (09:06 -0700)]
Merge pull request #1288 from YosysHQ/eddie/fix_1284

Since $_ANDNOT_ is not symmetric, do not sort leaves

4 years agoSince $_ANDNOT_ is not symmetric, do not sort leaves
Eddie Hung [Mon, 12 Aug 2019 18:17:15 +0000 (11:17 -0700)]
Since $_ANDNOT_ is not symmetric, do not sort leaves

4 years agoMerge pull request #1152 from 1138-4EB/feat-docker
Serge Bazanski [Mon, 12 Aug 2019 13:09:25 +0000 (15:09 +0200)]
Merge pull request #1152 from 1138-4EB/feat-docker

Dockerfile

4 years agoMerge pull request #1277 from YosysHQ/eddie/fix_1262
Eddie Hung [Mon, 12 Aug 2019 05:10:17 +0000 (22:10 -0700)]
Merge pull request #1277 from YosysHQ/eddie/fix_1262

opt_expr -fine to now trim LSBs of $alu cells too

4 years agoMerge remote-tracking branch 'origin/master' into eddie/fix_1262
Eddie Hung [Mon, 12 Aug 2019 04:13:40 +0000 (21:13 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/fix_1262

4 years agoAdd YOSYS_NO_IDS_REFCNT configuration macro
Clifford Wolf [Sun, 11 Aug 2019 10:23:16 +0000 (12:23 +0200)]
Add YOSYS_NO_IDS_REFCNT configuration macro

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoUse ID() in kernel/*, add simple ID:: hack (to be improved upon later)
Clifford Wolf [Sat, 10 Aug 2019 10:24:16 +0000 (12:24 +0200)]
Use ID() in kernel/*, add simple ID:: hack (to be improved upon later)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMore improvements and cleanups in IdString subsystem
Clifford Wolf [Sat, 10 Aug 2019 09:41:09 +0000 (11:41 +0200)]
More improvements and cleanups in IdString subsystem

- better use of "inline" keyword
- deprecate "sticky" IDs feature
- improve handling of empty ID
- add move constructor

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoUse ID() macro in all of passes/opt/
Clifford Wolf [Fri, 9 Aug 2019 16:58:14 +0000 (18:58 +0200)]
Use ID() macro in all of passes/opt/

This was obtained by running the following SED command in passes/opt/
and then using "meld foo.cc foo.cc.orig" to manually fix all resulting
compiler errors.

sed -i.orig -r 's/"\\\\([a-zA-Z0-9_]+)"/ID(\1)/g; s/"(\$[a-zA-Z0-9_]+)"/ID(\1)/g;' *.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoImprove API of ID() macro
Clifford Wolf [Fri, 9 Aug 2019 16:54:03 +0000 (18:54 +0200)]
Improve API of ID() macro

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_adder
Eddie Hung [Sat, 10 Aug 2019 21:18:16 +0000 (14:18 -0700)]
Merge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_adder

Revert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"

4 years agoWrong way around
Eddie Hung [Sat, 10 Aug 2019 18:55:00 +0000 (11:55 -0700)]
Wrong way around

4 years agoRevert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"
David Shah [Sat, 10 Aug 2019 16:14:48 +0000 (17:14 +0100)]
Revert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"

4 years agocover_list -> cover as per @cliffordwolf
Eddie Hung [Sat, 10 Aug 2019 15:26:41 +0000 (08:26 -0700)]
cover_list -> cover as per @cliffordwolf

4 years agoMerge pull request #1258 from YosysHQ/eddie/cleanup
Clifford Wolf [Sat, 10 Aug 2019 07:52:14 +0000 (09:52 +0200)]
Merge pull request #1258 from YosysHQ/eddie/cleanup

Cleanup a few barnacles across codebase

4 years agoMerge pull request #1261 from YosysHQ/clifford/verific_init
Clifford Wolf [Sat, 10 Aug 2019 07:47:25 +0000 (09:47 +0200)]
Merge pull request #1261 from YosysHQ/clifford/verific_init

Automatically prune init attributes in verific front-end

4 years agoMerge pull request #1263 from ucb-bar/firrtl_err_on_unsupported_cell
Clifford Wolf [Sat, 10 Aug 2019 07:47:10 +0000 (09:47 +0200)]
Merge pull request #1263 from ucb-bar/firrtl_err_on_unsupported_cell

FIRRTL error on unsupported cell

4 years agoMerge pull request #1270 from YosysHQ/eddie/alu_lcu_doc
Clifford Wolf [Sat, 10 Aug 2019 07:46:46 +0000 (09:46 +0200)]
Merge pull request #1270 from YosysHQ/eddie/alu_lcu_doc

Add a few comments to document $alu and $lcu

4 years agoMerge pull request #1272 from mmicko/travis_fix
Clifford Wolf [Sat, 10 Aug 2019 07:45:26 +0000 (09:45 +0200)]
Merge pull request #1272 from mmicko/travis_fix

Propagate parameters for Travis build

4 years agoMerge pull request #1274 from YosysHQ/eddie/fix_1271
Clifford Wolf [Sat, 10 Aug 2019 07:45:06 +0000 (09:45 +0200)]
Merge pull request #1274 from YosysHQ/eddie/fix_1271

Add __STDC_FORMAT_MACROS before <inttypes.h> as per @mithro

4 years agoMerge pull request #1276 from YosysHQ/clifford/fix1273
Clifford Wolf [Sat, 10 Aug 2019 07:38:22 +0000 (09:38 +0200)]
Merge pull request #1276 from YosysHQ/clifford/fix1273

Disable NMUX, AOI3, OAI3, AOI4, OAI4 in ABC default gate lib

4 years agoGrammar
Eddie Hung [Fri, 9 Aug 2019 19:43:21 +0000 (12:43 -0700)]
Grammar

4 years agoReformat so it shows up/looks nice when "help $alu" and "help $alu+"
Eddie Hung [Fri, 9 Aug 2019 19:33:39 +0000 (12:33 -0700)]
Reformat so it shows up/looks nice when "help $alu" and "help $alu+"

4 years agoSeparate $alu handling
Eddie Hung [Fri, 9 Aug 2019 19:13:32 +0000 (12:13 -0700)]
Separate $alu handling

4 years agoAdd $alu tests
Eddie Hung [Fri, 9 Aug 2019 19:13:17 +0000 (12:13 -0700)]
Add $alu tests

4 years agoopt_expr -fine to trim LSBs of $alu too
Eddie Hung [Fri, 9 Aug 2019 17:32:12 +0000 (10:32 -0700)]
opt_expr -fine to trim LSBs of $alu too

4 years agoAdd alumacc versions of opt_expr tests
Eddie Hung [Fri, 9 Aug 2019 17:30:53 +0000 (10:30 -0700)]
Add alumacc versions of opt_expr tests

4 years agoAdd new $alu test, remove wreduce
Eddie Hung [Fri, 9 Aug 2019 17:22:06 +0000 (10:22 -0700)]
Add new $alu test, remove wreduce

4 years agoDisable NMUX, AOI3, OAI3, AOI4, OAI4 in ABC default gate lib, add "abc -g all", fixes...
Clifford Wolf [Fri, 9 Aug 2019 17:17:23 +0000 (19:17 +0200)]
Disable NMUX, AOI3, OAI3, AOI4, OAI4 in ABC default gate lib, add "abc -g all", fixes #1273

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoCleanup some more
Eddie Hung [Fri, 9 Aug 2019 17:13:49 +0000 (10:13 -0700)]
Cleanup some more

4 years agoMerge pull request #1267 from whitequark/proc_prune-fix-1243
whitequark [Fri, 9 Aug 2019 17:10:46 +0000 (17:10 +0000)]
Merge pull request #1267 from whitequark/proc_prune-fix-1243

proc_prune: fix handling of exactly identical assigns

4 years agoSimplify opt_expr tests using equiv_opt
Eddie Hung [Fri, 9 Aug 2019 17:08:17 +0000 (10:08 -0700)]
Simplify opt_expr tests using equiv_opt

4 years agoA bit more on where $lcu comes from
Eddie Hung [Fri, 9 Aug 2019 16:50:47 +0000 (09:50 -0700)]
A bit more on where $lcu comes from

4 years agoAdd more comments
Eddie Hung [Fri, 9 Aug 2019 16:48:17 +0000 (09:48 -0700)]
Add more comments

4 years agoAdd __STDC_FORMAT_MACROS before <inttypes.h> as per @mithro
Eddie Hung [Fri, 9 Aug 2019 16:17:35 +0000 (09:17 -0700)]
Add __STDC_FORMAT_MACROS before <inttypes.h> as per @mithro

4 years agoABC requires it like this
Miodrag Milanovic [Fri, 9 Aug 2019 06:54:17 +0000 (08:54 +0200)]
ABC requires it like this

4 years agoPropagate parameters for Travis build
Miodrag Milanovic [Fri, 9 Aug 2019 06:06:14 +0000 (08:06 +0200)]
Propagate parameters for Travis build

4 years agoAdd a few comments to document $alu and $lcu
Eddie Hung [Thu, 8 Aug 2019 17:05:28 +0000 (10:05 -0700)]
Add a few comments to document $alu and $lcu

4 years agoMerge pull request #1264 from YosysHQ/eddie/fix_1254
Eddie Hung [Thu, 8 Aug 2019 14:58:33 +0000 (07:58 -0700)]
Merge pull request #1264 from YosysHQ/eddie/fix_1254

opt_lut to ignore LUT cells, or those that drive bits, with (* keep *)

4 years agoMerge pull request #1266 from YosysHQ/eddie/ice40_full_adder
Eddie Hung [Thu, 8 Aug 2019 14:58:11 +0000 (07:58 -0700)]
Merge pull request #1266 from YosysHQ/eddie/ice40_full_adder

Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER

4 years agoproc_prune: fix handling of exactly identical assigns.
whitequark [Thu, 8 Aug 2019 05:28:01 +0000 (05:28 +0000)]
proc_prune: fix handling of exactly identical assigns.

Before this commit, in a process like:
   process $proc$bug.v:8$3
     assign $foo \bar
     switch \sel
       case 1'1
         assign $foo 1'1
         assign $foo 1'1
       case
         assign $foo 1'0
     end
   end
both of the "assign $foo 1'1" would incorrectly be removed.

Fixes #1243.

4 years agoRemove dump call
Eddie Hung [Thu, 8 Aug 2019 04:36:02 +0000 (21:36 -0700)]
Remove dump call

4 years agoMove tests/various/opt* into tests/opt/
Eddie Hung [Thu, 8 Aug 2019 04:35:48 +0000 (21:35 -0700)]
Move tests/various/opt* into tests/opt/

4 years agoRemove ice40_unlut call, simply do equiv_opt on synth_ice40
Eddie Hung [Thu, 8 Aug 2019 04:33:56 +0000 (21:33 -0700)]
Remove ice40_unlut call, simply do equiv_opt on synth_ice40

4 years agoAdd testcase from removed opt_ff.{v,ys}
Eddie Hung [Thu, 8 Aug 2019 04:31:32 +0000 (21:31 -0700)]
Add testcase from removed opt_ff.{v,ys}

4 years agoRemove tests/opt/opt_ff.{v,ys} as they don't seem to do anything but run
Eddie Hung [Wed, 7 Aug 2019 23:48:38 +0000 (16:48 -0700)]
Remove tests/opt/opt_ff.{v,ys} as they don't seem to do anything but run

4 years agoAllow whitebox modules to be overwritten
Eddie Hung [Wed, 7 Aug 2019 23:40:24 +0000 (16:40 -0700)]
Allow whitebox modules to be overwritten

4 years agoUpdate CHANGELOG
Eddie Hung [Wed, 7 Aug 2019 23:33:46 +0000 (16:33 -0700)]
Update CHANGELOG

4 years agoAdd ice40_wrapcarry pass, rename $__ICE40_FULL_ADDER -> CARRY_WRAPPER
Eddie Hung [Wed, 7 Aug 2019 23:27:24 +0000 (16:27 -0700)]
Add ice40_wrapcarry pass, rename $__ICE40_FULL_ADDER -> CARRY_WRAPPER

4 years agoAdd test
Eddie Hung [Wed, 7 Aug 2019 23:27:07 +0000 (16:27 -0700)]
Add test

4 years agoRemove ice40_unlut
Eddie Hung [Wed, 7 Aug 2019 21:52:56 +0000 (14:52 -0700)]
Remove ice40_unlut

4 years agoWrap SB_CARRY+SB_LUT into $__ICE40_FULL_ADDER
Eddie Hung [Wed, 7 Aug 2019 21:50:59 +0000 (14:50 -0700)]
Wrap SB_CARRY+SB_LUT into $__ICE40_FULL_ADDER

4 years agoopt_lut to ignore LUT cells, or those that drive bits, with (* keep *)
Eddie Hung [Wed, 7 Aug 2019 20:12:28 +0000 (13:12 -0700)]
opt_lut to ignore LUT cells, or those that drive bits, with (* keep *)

4 years agoMerge pull request #1248 from YosysHQ/eddie/abc9_speedup
Eddie Hung [Wed, 7 Aug 2019 19:25:26 +0000 (12:25 -0700)]
Merge pull request #1248 from YosysHQ/eddie/abc9_speedup

abc9: speedup by using using "clean" more efficiently

4 years agosubstr() -> compare()
Eddie Hung [Wed, 7 Aug 2019 19:20:08 +0000 (12:20 -0700)]
substr() -> compare()

4 years agoRTLIL::S{0,1} -> State::S{0,1} for headers
Eddie Hung [Wed, 7 Aug 2019 18:14:03 +0000 (11:14 -0700)]
RTLIL::S{0,1} -> State::S{0,1} for headers

4 years agoRTLIL::S{0,1} -> State::S{0,1}
Eddie Hung [Wed, 7 Aug 2019 18:12:38 +0000 (11:12 -0700)]
RTLIL::S{0,1} -> State::S{0,1}

4 years agoMerge remote-tracking branch 'origin/master' into eddie/cleanup
Eddie Hung [Wed, 7 Aug 2019 18:11:50 +0000 (11:11 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/cleanup

4 years agoRemove std:: namespace
Eddie Hung [Wed, 7 Aug 2019 18:11:14 +0000 (11:11 -0700)]
Remove std:: namespace

4 years ago'make clean' to not remove anything abc
Eddie Hung [Wed, 7 Aug 2019 18:10:18 +0000 (11:10 -0700)]
'make clean' to not remove anything abc

4 years agostoi -> atoi
Eddie Hung [Wed, 7 Aug 2019 18:09:17 +0000 (11:09 -0700)]
stoi -> atoi

4 years agodockerfile: use 'python:3-slim-buster' base image
1138-4EB [Wed, 7 Aug 2019 12:24:09 +0000 (14:24 +0200)]
dockerfile: use 'python:3-slim-buster' base image

4 years agodockerfile: use PREFIX instead of cp
1138-4EB [Wed, 7 Aug 2019 03:37:00 +0000 (05:37 +0200)]
dockerfile: use PREFIX instead of cp

4 years agoMerge branch 'master' into firrtl_err_on_unsupported_cell
Jim Lawson [Wed, 7 Aug 2019 17:14:45 +0000 (10:14 -0700)]
Merge branch 'master' into firrtl_err_on_unsupported_cell

# Conflicts:
# backends/firrtl/firrtl.cc

4 years agoAdd comment
Eddie Hung [Tue, 6 Aug 2019 20:20:32 +0000 (13:20 -0700)]
Add comment

4 years agoRevert "Add TODO"
Eddie Hung [Tue, 6 Aug 2019 20:19:21 +0000 (13:19 -0700)]
Revert "Add TODO"

This reverts commit 6068a6bf0d91e3ab9a5eaa33894a816f1560f99a.

4 years agoAdd TODO
Eddie Hung [Fri, 2 Aug 2019 05:30:10 +0000 (22:30 -0700)]
Add TODO

4 years agoCompute box_lookup just once
Eddie Hung [Fri, 2 Aug 2019 05:21:56 +0000 (22:21 -0700)]
Compute box_lookup just once

4 years agoRun "clean" on mapped_mod in its own design
Eddie Hung [Fri, 2 Aug 2019 05:21:30 +0000 (22:21 -0700)]
Run "clean" on mapped_mod in its own design

4 years agoRun "clean -purge" on holes_module in its own design
Eddie Hung [Fri, 2 Aug 2019 05:21:14 +0000 (22:21 -0700)]
Run "clean -purge" on holes_module in its own design