yosys.git
5 years agoMerge branch 'xaig' into xc7mux
Eddie Hung [Tue, 16 Apr 2019 05:04:20 +0000 (22:04 -0700)]
Merge branch 'xaig' into xc7mux

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 16 Apr 2019 04:56:45 +0000 (21:56 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch
Eddie Hung [Tue, 16 Apr 2019 01:39:20 +0000 (18:39 -0700)]
Merge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch

Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoRevert "Recognise default entry in case even if all cases covered (fix for #931)"
Eddie Hung [Tue, 16 Apr 2019 00:52:45 +0000 (17:52 -0700)]
Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoMerge pull request #936 from YosysHQ/README-fix-quotes
Eddie Hung [Mon, 15 Apr 2019 19:22:05 +0000 (12:22 -0700)]
Merge pull request #936 from YosysHQ/README-fix-quotes

README: fix some incorrect quoting

5 years agoREADME: fix some incorrect quoting.
whitequark [Mon, 15 Apr 2019 14:29:46 +0000 (14:29 +0000)]
README: fix some incorrect quoting.

5 years agoForgot backslashes
Eddie Hung [Sat, 13 Apr 2019 01:22:44 +0000 (18:22 -0700)]
Forgot backslashes

5 years agoHandle __dummy_o__ and __const[01]__ in read_aiger not abc
Eddie Hung [Sat, 13 Apr 2019 01:21:16 +0000 (18:21 -0700)]
Handle __dummy_o__ and __const[01]__ in read_aiger not abc

5 years agoabc to ignore __dummy_o__ and __const[01]__ when re-integrating
Eddie Hung [Sat, 13 Apr 2019 01:16:50 +0000 (18:16 -0700)]
abc to ignore __dummy_o__ and __const[01]__ when re-integrating

5 years agoOutput __const0__ and __const1__ CIs
Eddie Hung [Sat, 13 Apr 2019 01:16:25 +0000 (18:16 -0700)]
Output __const0__ and __const1__ CIs

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Sat, 13 Apr 2019 00:09:24 +0000 (17:09 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoFix inout handling for -map option
Eddie Hung [Sat, 13 Apr 2019 00:02:24 +0000 (17:02 -0700)]
Fix inout handling for -map option

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Fri, 12 Apr 2019 23:31:12 +0000 (16:31 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Fri, 12 Apr 2019 23:30:53 +0000 (16:30 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoUse -map instead of -symbols for aiger
Eddie Hung [Fri, 12 Apr 2019 23:29:14 +0000 (16:29 -0700)]
Use -map instead of -symbols for aiger

5 years agoci_bits and co_bits now a list, order is important for ABC
Eddie Hung [Fri, 12 Apr 2019 23:17:48 +0000 (16:17 -0700)]
ci_bits and co_bits now a list, order is important for ABC

5 years agoAlso cope with duplicated CIs
Eddie Hung [Fri, 12 Apr 2019 23:17:12 +0000 (16:17 -0700)]
Also cope with duplicated CIs

5 years agoWIP
Eddie Hung [Fri, 12 Apr 2019 21:13:11 +0000 (14:13 -0700)]
WIP

5 years agoComment out
Eddie Hung [Tue, 9 Apr 2019 17:09:43 +0000 (10:09 -0700)]
Comment out

5 years agoAdd support for synth_xilinx -abc9 and ignore abc9 -dress opt
Eddie Hung [Tue, 9 Apr 2019 17:06:44 +0000 (10:06 -0700)]
Add support for synth_xilinx -abc9 and ignore abc9 -dress opt

5 years agoCope with an output having same name as an input (i.e. CO)
Eddie Hung [Fri, 12 Apr 2019 19:27:07 +0000 (12:27 -0700)]
Cope with an output having same name as an input (i.e. CO)

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Fri, 12 Apr 2019 19:21:48 +0000 (12:21 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #928 from litghost/add_xc7_sim_models
Eddie Hung [Fri, 12 Apr 2019 18:52:45 +0000 (11:52 -0700)]
Merge pull request #928 from litghost/add_xc7_sim_models

Add additional cells sim models for core 7-series primitives.

5 years agoPI before CI
Eddie Hung [Fri, 12 Apr 2019 17:36:05 +0000 (10:36 -0700)]
PI before CI

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 12 Apr 2019 16:46:07 +0000 (09:46 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoRemove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.
Keith Rothman [Fri, 12 Apr 2019 16:30:49 +0000 (09:30 -0700)]
Remove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agoMerge pull request #933 from dh73/master
Clifford Wolf [Fri, 12 Apr 2019 12:57:36 +0000 (14:57 +0200)]
Merge pull request #933 from dh73/master

Fixing issues in CycloneV cell sim

5 years agoMerge pull request #932 from YosysHQ/eddie/fixdlatch
Clifford Wolf [Fri, 12 Apr 2019 12:57:01 +0000 (14:57 +0200)]
Merge pull request #932 from YosysHQ/eddie/fixdlatch

Recognise default entry in case even if all cases covered (fix for #931)

5 years agoFixing issues in CycloneV cell sim
Diego [Fri, 12 Apr 2019 00:59:03 +0000 (19:59 -0500)]
Fixing issues in CycloneV cell sim

5 years agoMerge remote-tracking branch 'origin/pmux2shiftx' into xc7mux
Eddie Hung [Thu, 11 Apr 2019 23:21:01 +0000 (16:21 -0700)]
Merge remote-tracking branch 'origin/pmux2shiftx' into xc7mux

5 years agoMore unused
Eddie Hung [Thu, 11 Apr 2019 23:20:43 +0000 (16:20 -0700)]
More unused

5 years agoMerge remote-tracking branch 'origin/pmux2shiftx' into xc7mux
Eddie Hung [Thu, 11 Apr 2019 23:18:45 +0000 (16:18 -0700)]
Merge remote-tracking branch 'origin/pmux2shiftx' into xc7mux

5 years agoRemove unused
Eddie Hung [Thu, 11 Apr 2019 23:18:01 +0000 (16:18 -0700)]
Remove unused

5 years agoFixes
Eddie Hung [Thu, 11 Apr 2019 23:17:09 +0000 (16:17 -0700)]
Fixes

5 years agoWIP
Eddie Hung [Thu, 11 Apr 2019 22:52:04 +0000 (15:52 -0700)]
WIP

5 years agoSpelling fixes
Eddie Hung [Thu, 11 Apr 2019 22:09:13 +0000 (15:09 -0700)]
Spelling fixes

5 years agoAdd default entry to testcase
Eddie Hung [Thu, 11 Apr 2019 22:03:40 +0000 (15:03 -0700)]
Add default entry to testcase

5 years agoRecognise default entry in case even if all cases covered (#931)
Eddie Hung [Thu, 11 Apr 2019 19:34:51 +0000 (12:34 -0700)]
Recognise default entry in case even if all cases covered (#931)

5 years agoFix cells_map.v some more
Eddie Hung [Thu, 11 Apr 2019 17:48:14 +0000 (10:48 -0700)]
Fix cells_map.v some more

5 years agoMore fine tuning
Eddie Hung [Thu, 11 Apr 2019 17:08:05 +0000 (10:08 -0700)]
More fine tuning

5 years agoFix cells_map.v
Eddie Hung [Thu, 11 Apr 2019 17:04:58 +0000 (10:04 -0700)]
Fix cells_map.v

5 years agoFix typo
Eddie Hung [Thu, 11 Apr 2019 16:25:19 +0000 (09:25 -0700)]
Fix typo

5 years agoJuggle opt calls in synth_xilinx
Eddie Hung [Thu, 11 Apr 2019 16:13:39 +0000 (09:13 -0700)]
Juggle opt calls in synth_xilinx

5 years agoMerge branch 'xaig' into xc7mux
Eddie Hung [Thu, 11 Apr 2019 01:07:11 +0000 (18:07 -0700)]
Merge branch 'xaig' into xc7mux

5 years agoAdd non-input bits driven by unrecognised cells as ci_bits
Eddie Hung [Thu, 11 Apr 2019 01:06:33 +0000 (18:06 -0700)]
Add non-input bits driven by unrecognised cells as ci_bits

5 years agoWIP for cells_map.v -- maybe working?
Eddie Hung [Thu, 11 Apr 2019 01:05:09 +0000 (18:05 -0700)]
WIP for cells_map.v -- maybe working?

5 years agoTry splitting $shiftx with Y_WIDTH > 1 into Y_WIDTH = 1
Eddie Hung [Wed, 10 Apr 2019 23:15:23 +0000 (16:15 -0700)]
Try splitting $shiftx with Y_WIDTH > 1 into Y_WIDTH = 1

5 years agoFix for when B_SIGNED = 1
Eddie Hung [Wed, 10 Apr 2019 21:51:10 +0000 (14:51 -0700)]
Fix for when B_SIGNED = 1

5 years agoUpdate doc for synth_xilinx
Eddie Hung [Wed, 10 Apr 2019 21:48:58 +0000 (14:48 -0700)]
Update doc for synth_xilinx

5 years agoMerge branch 'xaig' into xc7mux
Eddie Hung [Wed, 10 Apr 2019 21:03:09 +0000 (14:03 -0700)]
Merge branch 'xaig' into xc7mux

5 years agoparse_aiger() to rename all $lut cells after "clean"
Eddie Hung [Wed, 10 Apr 2019 21:02:23 +0000 (14:02 -0700)]
parse_aiger() to rename all $lut cells after "clean"

5 years agoff_map.v after abc
Eddie Hung [Wed, 10 Apr 2019 19:36:06 +0000 (12:36 -0700)]
ff_map.v after abc

5 years agoTidy up
Eddie Hung [Wed, 10 Apr 2019 16:02:42 +0000 (09:02 -0700)]
Tidy up

5 years agoMove map_cells to before map_luts
Eddie Hung [Wed, 10 Apr 2019 15:50:31 +0000 (08:50 -0700)]
Move map_cells to before map_luts

5 years agoWIP for $shiftx to wide mux
Eddie Hung [Wed, 10 Apr 2019 15:49:55 +0000 (08:49 -0700)]
WIP for $shiftx to wide mux

5 years agoUpdate LUT delays
Eddie Hung [Wed, 10 Apr 2019 15:49:39 +0000 (08:49 -0700)]
Update LUT delays

5 years agoAdd cells.lut to techlibs/xilinx/
Eddie Hung [Tue, 9 Apr 2019 21:33:37 +0000 (14:33 -0700)]
Add cells.lut to techlibs/xilinx/

5 years agosynth_xilinx to call abc with -lut +/xilinx/cells.lut
Eddie Hung [Tue, 9 Apr 2019 21:32:39 +0000 (14:32 -0700)]
synth_xilinx to call abc with -lut +/xilinx/cells.lut

5 years agoAdd delays to cells.box
Eddie Hung [Tue, 9 Apr 2019 21:32:10 +0000 (14:32 -0700)]
Add delays to cells.box

5 years agoAdd "-lut <file>" support to abc9
Eddie Hung [Tue, 9 Apr 2019 21:31:31 +0000 (14:31 -0700)]
Add "-lut <file>" support to abc9

5 years agoFix LUT6_2 definition.
Keith Rothman [Tue, 9 Apr 2019 18:43:19 +0000 (11:43 -0700)]
Fix LUT6_2 definition.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agosynth_xilinx with abc9 to use -box
Eddie Hung [Tue, 9 Apr 2019 18:01:46 +0000 (11:01 -0700)]
synth_xilinx with abc9 to use -box

5 years agoAdd techlibs/xilinx/cells.box
Eddie Hung [Tue, 9 Apr 2019 17:58:58 +0000 (10:58 -0700)]
Add techlibs/xilinx/cells.box

5 years agoAdd "-box" option to abc9
Eddie Hung [Tue, 9 Apr 2019 17:58:06 +0000 (10:58 -0700)]
Add "-box" option to abc9

5 years agoAdd 'setundef -zero' call prior to aigmap in abc9
Eddie Hung [Tue, 9 Apr 2019 17:32:58 +0000 (10:32 -0700)]
Add 'setundef -zero' call prior to aigmap in abc9

5 years agoComment out
Eddie Hung [Tue, 9 Apr 2019 17:09:43 +0000 (10:09 -0700)]
Comment out

5 years agoAdd support for synth_xilinx -abc9 and ignore abc9 -dress opt
Eddie Hung [Tue, 9 Apr 2019 17:06:44 +0000 (10:06 -0700)]
Add support for synth_xilinx -abc9 and ignore abc9 -dress opt

5 years agoAdd additional cells sim models for core 7-series primatives.
Keith Rothman [Tue, 9 Apr 2019 16:01:53 +0000 (09:01 -0700)]
Add additional cells sim models for core 7-series primatives.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agoFix a few typos
Eddie Hung [Mon, 8 Apr 2019 23:46:33 +0000 (16:46 -0700)]
Fix a few typos

5 years agoMore space fixing
Eddie Hung [Mon, 8 Apr 2019 23:40:17 +0000 (16:40 -0700)]
More space fixing

5 years agoFix spacing
Eddie Hung [Mon, 8 Apr 2019 23:37:22 +0000 (16:37 -0700)]
Fix spacing

5 years agoMerge branch 'master' into xaig
Eddie Hung [Mon, 8 Apr 2019 23:31:59 +0000 (16:31 -0700)]
Merge branch 'master' into xaig

5 years agoMerge pull request #919 from YosysHQ/multiport_transp
Clifford Wolf [Mon, 8 Apr 2019 19:14:05 +0000 (21:14 +0200)]
Merge pull request #919 from YosysHQ/multiport_transp

memory_bram: Fix multiport make_transp

5 years agomemory_bram: Fix multiport make_transp
David Shah [Sun, 7 Apr 2019 15:56:31 +0000 (16:56 +0100)]
memory_bram: Fix multiport make_transp

Signed-off-by: David Shah <dave@ds0.me>
5 years agoAdd "read_ilang -lib"
Clifford Wolf [Fri, 5 Apr 2019 15:31:49 +0000 (17:31 +0200)]
Add "read_ilang -lib"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdded missing argument checking to "mutate" command
Clifford Wolf [Thu, 4 Apr 2019 16:10:10 +0000 (18:10 +0200)]
Added missing argument checking to "mutate" command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #913 from smunaut/fix_proc_mux
Eddie Hung [Wed, 3 Apr 2019 13:27:41 +0000 (06:27 -0700)]
Merge pull request #913 from smunaut/fix_proc_mux

proc_mux: Fix crash when trying to optimize non-existant mux to shiftx

5 years agoproc_mux: Fix crash when trying to optimize non-existant mux to shiftx
Sylvain Munaut [Wed, 3 Apr 2019 12:50:12 +0000 (14:50 +0200)]
proc_mux: Fix crash when trying to optimize non-existant mux to shiftx

last_mux_cell can be NULL ...

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
5 years agoMerge pull request #912 from YosysHQ/bram_addr_en
Clifford Wolf [Wed, 3 Apr 2019 08:00:18 +0000 (10:00 +0200)]
Merge pull request #912 from YosysHQ/bram_addr_en

memory_bram: Consider read enable for address expansion register

5 years agoMerge pull request #910 from ucb-bar/memupdates
Clifford Wolf [Wed, 3 Apr 2019 07:59:11 +0000 (09:59 +0200)]
Merge pull request #910 from ucb-bar/memupdates

Refine memory support to deal with general Verilog memory definitions.

5 years agomemory_bram: Consider read enable for address expansion register
David Shah [Tue, 2 Apr 2019 18:47:50 +0000 (19:47 +0100)]
memory_bram: Consider read enable for address expansion register

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMerge pull request #895 from YosysHQ/pmux2shiftx
Eddie Hung [Tue, 2 Apr 2019 07:16:14 +0000 (00:16 -0700)]
Merge pull request #895 from YosysHQ/pmux2shiftx

RFC: Add a pmux-to-shiftx optimisation to proc_mux

5 years agoRefine memory support to deal with general Verilog memory definitions.
Jim Lawson [Mon, 1 Apr 2019 22:02:12 +0000 (15:02 -0700)]
Refine memory support to deal with general Verilog memory definitions.

5 years agoMerge pull request #907 from YosysHQ/clifford/fix906
Clifford Wolf [Fri, 29 Mar 2019 23:09:42 +0000 (00:09 +0100)]
Merge pull request #907 from YosysHQ/clifford/fix906

Build Verilog parser with -DYYMAXDEPTH=100000

5 years agoBuild Verilog parser with -DYYMAXDEPTH=100000, fixes #906
Clifford Wolf [Fri, 29 Mar 2019 15:32:44 +0000 (16:32 +0100)]
Build Verilog parser with -DYYMAXDEPTH=100000, fixes #906

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #901 from trcwm/libertyfixes
Clifford Wolf [Thu, 28 Mar 2019 08:32:05 +0000 (09:32 +0100)]
Merge pull request #901 from trcwm/libertyfixes

Libertyfixes: accept superfluous ; at end of group.

5 years agoMerge pull request #903 from YosysHQ/bram_reset_transp
Clifford Wolf [Thu, 28 Mar 2019 08:30:48 +0000 (09:30 +0100)]
Merge pull request #903 from YosysHQ/bram_reset_transp

memory_bram: Reset make_transp when growing read ports

5 years agomemory_bram: Reset make_transp when growing read ports
David Shah [Wed, 27 Mar 2019 17:19:14 +0000 (17:19 +0000)]
memory_bram: Reset make_transp when growing read ports

Signed-off-by: David Shah <dave@ds0.me>
5 years agoLiberty file parser now accepts superfluous ;
Niels Moseley [Wed, 27 Mar 2019 14:17:58 +0000 (15:17 +0100)]
Liberty file parser now accepts superfluous ;

5 years agoLiberty file parser now accepts superfluous ;
Niels Moseley [Wed, 27 Mar 2019 14:16:19 +0000 (15:16 +0100)]
Liberty file parser now accepts superfluous ;

5 years agoLiberty file parser now accepts superfluous ;
Niels Moseley [Wed, 27 Mar 2019 14:15:53 +0000 (15:15 +0100)]
Liberty file parser now accepts superfluous ;

5 years agoAdd "read -verific" and "read -noverific"
Clifford Wolf [Wed, 27 Mar 2019 13:03:35 +0000 (14:03 +0100)]
Add "read -verific" and "read -noverific"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "rename -output"
Clifford Wolf [Wed, 27 Mar 2019 12:47:42 +0000 (13:47 +0100)]
Add "rename -output"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove "rename" help message
Clifford Wolf [Wed, 27 Mar 2019 12:33:26 +0000 (13:33 +0100)]
Improve "rename" help message

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "cutpoint -undef"
Clifford Wolf [Tue, 26 Mar 2019 15:01:14 +0000 (16:01 +0100)]
Add "cutpoint -undef"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "hdlname" attribute
Clifford Wolf [Tue, 26 Mar 2019 13:51:35 +0000 (14:51 +0100)]
Add "hdlname" attribute

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix "verific -extnets" for more complex situations
Clifford Wolf [Tue, 26 Mar 2019 13:17:46 +0000 (14:17 +0100)]
Fix "verific -extnets" for more complex situations

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "cutpoint" pass
Clifford Wolf [Mon, 25 Mar 2019 18:49:00 +0000 (19:49 +0100)]
Add "cutpoint" pass

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoCreate one $shiftx per bit in width
Eddie Hung [Mon, 25 Mar 2019 18:16:56 +0000 (11:16 -0700)]
Create one $shiftx per bit in width

5 years agoMerge pull request #896 from YosysHQ/transp_fixes
Clifford Wolf [Mon, 25 Mar 2019 13:55:16 +0000 (14:55 +0100)]
Merge pull request #896 from YosysHQ/transp_fixes

memory_bram: Fix multiclock make_transp