yosys.git
8 years agoAdded nlutmap
Clifford Wolf [Fri, 18 Sep 2015 19:57:34 +0000 (21:57 +0200)]
Added nlutmap

8 years agoAdded lut2mux pass
Clifford Wolf [Fri, 18 Sep 2015 19:55:48 +0000 (21:55 +0200)]
Added lut2mux pass

8 years agoCosmetic fix in Module::addLut()
Clifford Wolf [Fri, 18 Sep 2015 19:55:12 +0000 (21:55 +0200)]
Cosmetic fix in Module::addLut()

8 years agoAdded buffer detection to "abc -lut"
Clifford Wolf [Fri, 18 Sep 2015 18:12:56 +0000 (20:12 +0200)]
Added buffer detection to "abc -lut"

8 years agoRenamed GreenPAK4 cells, improved GP4 DFF mapping
Clifford Wolf [Fri, 18 Sep 2015 10:00:37 +0000 (12:00 +0200)]
Renamed GreenPAK4 cells, improved GP4 DFF mapping

8 years agoAdded support for "dfflibmap -liberty +/..."
Clifford Wolf [Fri, 18 Sep 2015 09:55:57 +0000 (11:55 +0200)]
Added support for "dfflibmap -liberty +/..."

8 years agoAdded detection of "mux inverter" chains in opt_const
Clifford Wolf [Fri, 18 Sep 2015 09:55:31 +0000 (11:55 +0200)]
Added detection of "mux inverter" chains in opt_const

8 years agoAdded $logic_not handling to fsm_detect
Clifford Wolf [Fri, 18 Sep 2015 08:46:50 +0000 (10:46 +0200)]
Added $logic_not handling to fsm_detect

8 years agoAdded $finish and $display to README
Clifford Wolf [Fri, 18 Sep 2015 08:01:08 +0000 (10:01 +0200)]
Added $finish and $display to README

8 years agoMerge branch 'feat-finish-disp'
Clifford Wolf [Fri, 18 Sep 2015 07:54:49 +0000 (09:54 +0200)]
Merge branch 'feat-finish-disp'

8 years agoAdded AST_INITIAL checks for $finish and $display
Clifford Wolf [Fri, 18 Sep 2015 07:50:53 +0000 (09:50 +0200)]
Added AST_INITIAL checks for $finish and $display

8 years agoInitial implementation of $display()
Andrew Zonenberg [Fri, 18 Sep 2015 03:34:56 +0000 (20:34 -0700)]
Initial implementation of $display()

8 years agoInitial implementation of $finish()
Andrew Zonenberg [Fri, 18 Sep 2015 03:34:56 +0000 (20:34 -0700)]
Initial implementation of $finish()

8 years agoFixed copy&paste typo in synth_greenpak4
Clifford Wolf [Wed, 16 Sep 2015 07:39:31 +0000 (09:39 +0200)]
Fixed copy&paste typo in synth_greenpak4

8 years agoAdded GreenPAK4 skeleton
Clifford Wolf [Wed, 16 Sep 2015 07:28:37 +0000 (09:28 +0200)]
Added GreenPAK4 skeleton

8 years agoFixed sharing of $memrd cells
Clifford Wolf [Sat, 12 Sep 2015 14:01:20 +0000 (16:01 +0200)]
Fixed sharing of $memrd cells

8 years agoFixed ice40 handling of negclk RAM40
Clifford Wolf [Thu, 10 Sep 2015 15:35:19 +0000 (17:35 +0200)]
Fixed ice40 handling of negclk RAM40

8 years agoFixed port ordering in "splitnets" cmd
Clifford Wolf [Tue, 1 Sep 2015 11:10:36 +0000 (13:10 +0200)]
Fixed port ordering in "splitnets" cmd

8 years agogcc-4.6 build fixes
Clifford Wolf [Tue, 1 Sep 2015 10:51:23 +0000 (12:51 +0200)]
gcc-4.6 build fixes

8 years agoRemoved unnecessary cast.
Andrei Errapart [Mon, 24 Aug 2015 20:44:56 +0000 (22:44 +0200)]
Removed unnecessary cast.

8 years agoMicrosoft Visual C++ fixes in hashlib; template specializations on int32_t and int64_t.
Andrei Errapart [Mon, 24 Aug 2015 20:49:23 +0000 (22:49 +0200)]
Microsoft Visual C++ fixes in hashlib; template specializations on int32_t and int64_t.

8 years agoMicrosoft Visual C++ fix for log.h.
Andrei Errapart [Mon, 24 Aug 2015 20:52:27 +0000 (22:52 +0200)]
Microsoft Visual C++ fix for log.h.

8 years agoFixed iopadmap help message
Clifford Wolf [Mon, 31 Aug 2015 14:49:42 +0000 (16:49 +0200)]
Fixed iopadmap help message

8 years agoAdded SigMap::allbits()
Clifford Wolf [Mon, 31 Aug 2015 14:42:19 +0000 (16:42 +0200)]
Added SigMap::allbits()

8 years agoUsing dict<> and pool<> in alumacc pass
Clifford Wolf [Mon, 31 Aug 2015 14:26:01 +0000 (16:26 +0200)]
Using dict<> and pool<> in alumacc pass

8 years agoAdded "yosys-smt2-wire" tag support to smt2 back-end
Clifford Wolf [Mon, 31 Aug 2015 00:05:58 +0000 (02:05 +0200)]
Added "yosys-smt2-wire" tag support to smt2 back-end

8 years agoFixed handling of memory read without address
Clifford Wolf [Sat, 22 Aug 2015 12:46:42 +0000 (14:46 +0200)]
Fixed handling of memory read without address

8 years agoSwitched to Python 3
Clifford Wolf [Sat, 22 Aug 2015 07:59:25 +0000 (09:59 +0200)]
Switched to Python 3

9 years agoAdded sat -show-regs, -show-public, -show-all
Clifford Wolf [Tue, 18 Aug 2015 15:14:30 +0000 (17:14 +0200)]
Added sat -show-regs, -show-public, -show-all

9 years agoBugfix in fsm_detect for complex muxtrees
Clifford Wolf [Tue, 18 Aug 2015 12:17:50 +0000 (14:17 +0200)]
Bugfix in fsm_detect for complex muxtrees

9 years agoProperly clean up unused "init" attributes
Clifford Wolf [Tue, 18 Aug 2015 11:50:15 +0000 (13:50 +0200)]
Properly clean up unused "init" attributes

9 years agoSmall corrections to const2ast warning messages
Clifford Wolf [Mon, 17 Aug 2015 14:22:53 +0000 (16:22 +0200)]
Small corrections to const2ast warning messages

9 years agoCheck base-n literals only contain valid digits
Florian Zeitz [Mon, 17 Aug 2015 13:37:33 +0000 (15:37 +0200)]
Check base-n literals only contain valid digits

9 years agoWarn on literals exceeding the specified bit width
Florian Zeitz [Mon, 17 Aug 2015 13:27:35 +0000 (15:27 +0200)]
Warn on literals exceeding the specified bit width

9 years agoMerge pull request #72 from cseed/master
Clifford Wolf [Mon, 17 Aug 2015 06:33:46 +0000 (08:33 +0200)]
Merge pull request #72 from cseed/master

Added .travis.yml.

9 years agoAdded .travis.yml.
Cotton Seed [Sun, 16 Aug 2015 21:15:07 +0000 (17:15 -0400)]
Added .travis.yml.

9 years agoAnother bugfix for ice40 and xilinx brams_init make rules
Clifford Wolf [Sun, 16 Aug 2015 19:39:34 +0000 (21:39 +0200)]
Another bugfix for ice40 and xilinx brams_init make rules

9 years agoFixed Makefile rules for generated share files
Clifford Wolf [Sun, 16 Aug 2015 19:15:07 +0000 (21:15 +0200)]
Fixed Makefile rules for generated share files

9 years agoAdded $tribuf and $_TBUF_ sim models
Clifford Wolf [Sun, 16 Aug 2015 11:05:32 +0000 (13:05 +0200)]
Added $tribuf and $_TBUF_ sim models

9 years agoAdded tribuf command
Clifford Wolf [Sun, 16 Aug 2015 10:55:25 +0000 (12:55 +0200)]
Added tribuf command

9 years agoAdded $tribuf and $_TBUF_ cell types
Clifford Wolf [Sun, 16 Aug 2015 10:54:52 +0000 (12:54 +0200)]
Added $tribuf and $_TBUF_ cell types

9 years agoFixed opt_clean handling of inout ports
Clifford Wolf [Sun, 16 Aug 2015 07:50:17 +0000 (09:50 +0200)]
Fixed opt_clean handling of inout ports

9 years agoFixed generation of smt2 concat statements
Clifford Wolf [Sat, 15 Aug 2015 09:45:44 +0000 (11:45 +0200)]
Fixed generation of smt2 concat statements

9 years agoFix version strings for out-of-tree builds
Larry Doolittle [Fri, 14 Aug 2015 20:46:22 +0000 (13:46 -0700)]
Fix version strings for out-of-tree builds

Still assumes source tree is a git repository

9 years agoAnother block of spelling fixes
Larry Doolittle [Fri, 14 Aug 2015 20:23:01 +0000 (13:23 -0700)]
Another block of spelling fixes

Smaller this time

9 years agoKeep gcc from complaining about uninitialized variables
Larry Doolittle [Fri, 14 Aug 2015 20:22:17 +0000 (13:22 -0700)]
Keep gcc from complaining about uninitialized variables

9 years agoRe-created command-reference-manual.tex, copied some doc fixes to online help
Clifford Wolf [Fri, 14 Aug 2015 09:27:19 +0000 (11:27 +0200)]
Re-created command-reference-manual.tex, copied some doc fixes to online help

9 years agoSpell check (by Larry Doolittle)
Clifford Wolf [Fri, 14 Aug 2015 08:56:05 +0000 (10:56 +0200)]
Spell check (by Larry Doolittle)

9 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Thu, 13 Aug 2015 07:52:06 +0000 (09:52 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

9 years agoMore ASCII encoding fixes
Clifford Wolf [Thu, 13 Aug 2015 07:42:24 +0000 (09:42 +0200)]
More ASCII encoding fixes

9 years agoFixed CRLF line endings
Clifford Wolf [Thu, 13 Aug 2015 07:35:00 +0000 (09:35 +0200)]
Fixed CRLF line endings

9 years agoSome ASCII encoding fixes (comments and docs) by Larry Doolittle
Clifford Wolf [Thu, 13 Aug 2015 07:30:20 +0000 (09:30 +0200)]
Some ASCII encoding fixes (comments and docs) by Larry Doolittle

9 years agoAdded "write_smt2 -regs"
Clifford Wolf [Wed, 12 Aug 2015 15:13:54 +0000 (17:13 +0200)]
Added "write_smt2 -regs"

9 years agoFixed "make clean" for out-of-tree builds
Clifford Wolf [Wed, 12 Aug 2015 14:54:30 +0000 (16:54 +0200)]
Fixed "make clean" for out-of-tree builds

9 years agoAdjust makefiles to work with out-of-tree builds
Clifford Wolf [Wed, 12 Aug 2015 13:04:44 +0000 (15:04 +0200)]
Adjust makefiles to work with out-of-tree builds

This is based on work done by Larry Doolittle

9 years agoImproved handling of "keep" attributes in hierarchical designs in opt_clean
Clifford Wolf [Wed, 12 Aug 2015 12:10:14 +0000 (14:10 +0200)]
Improved handling of "keep" attributes in hierarchical designs in opt_clean

9 years agoFixed hashlib for 64 bit int keys
Clifford Wolf [Wed, 12 Aug 2015 11:37:09 +0000 (13:37 +0200)]
Fixed hashlib for 64 bit int keys

9 years agoAdded SMV back-end 'test_cells.sh' script
Clifford Wolf [Wed, 12 Aug 2015 10:56:20 +0000 (12:56 +0200)]
Added SMV back-end 'test_cells.sh' script

9 years agoMerge pull request #70 from gaomy3832/bugfix
Clifford Wolf [Wed, 12 Aug 2015 06:45:04 +0000 (08:45 +0200)]
Merge pull request #70 from gaomy3832/bugfix

Remove unused blackbox modules in opt_clean.

9 years agoRemove unused blackbox modules in opt_clean.
Mingyu Gao [Mon, 10 Aug 2015 20:14:21 +0000 (13:14 -0700)]
Remove unused blackbox modules in opt_clean.

9 years agoBugfix for cell hash cache option in opt_share.
Mingyu Gao [Mon, 10 Aug 2015 20:01:44 +0000 (13:01 -0700)]
Bugfix for cell hash cache option in opt_share.

9 years agoFixed handling of [a-fxz?] in decimal constants
Clifford Wolf [Tue, 11 Aug 2015 09:32:37 +0000 (11:32 +0200)]
Fixed handling of [a-fxz?] in decimal constants

9 years agoAdded missing ct_all setup to opt_clean
Clifford Wolf [Tue, 11 Aug 2015 05:54:32 +0000 (07:54 +0200)]
Added missing ct_all setup to opt_clean

9 years agoBugfix for cell hash cache option in opt_share.
Mingyu Gao [Mon, 10 Aug 2015 20:01:44 +0000 (13:01 -0700)]
Bugfix for cell hash cache option in opt_share.

9 years agoUse MEMID as name for $mem cell
Clifford Wolf [Sun, 9 Aug 2015 11:35:44 +0000 (13:35 +0200)]
Use MEMID as name for $mem cell

9 years agoMerge pull request #69 from zeldin/master
Clifford Wolf [Thu, 6 Aug 2015 22:03:39 +0000 (00:03 +0200)]
Merge pull request #69 from zeldin/master

Added iCE40 WARMBOOT cell

9 years agoAdded iCE40 WARMBOOT cell
Marcus Comstedt [Thu, 6 Aug 2015 20:58:17 +0000 (22:58 +0200)]
Added iCE40 WARMBOOT cell

9 years agoRemove some very strange whitespace in btor.cc (by Larry Doolittle)
Clifford Wolf [Wed, 5 Aug 2015 20:11:10 +0000 (22:11 +0200)]
Remove some very strange whitespace in btor.cc (by Larry Doolittle)

9 years agoBugfix in SMV back-end for partially unassigned wires
Clifford Wolf [Wed, 5 Aug 2015 09:36:26 +0000 (11:36 +0200)]
Bugfix in SMV back-end for partially unassigned wires

9 years agoAdded ENABLE_LIBYOSYS Makefile option
Clifford Wolf [Tue, 4 Aug 2015 18:25:26 +0000 (20:25 +0200)]
Added ENABLE_LIBYOSYS Makefile option

9 years agoAdded $assert support to SMV back-end
Clifford Wolf [Tue, 4 Aug 2015 18:05:37 +0000 (20:05 +0200)]
Added $assert support to SMV back-end

9 years agoAdded libyosys.so build
Clifford Wolf [Tue, 4 Aug 2015 11:22:49 +0000 (13:22 +0200)]
Added libyosys.so build

9 years agoMerge pull request #68 from zeldin/master
Clifford Wolf [Sat, 1 Aug 2015 10:52:10 +0000 (12:52 +0200)]
Merge pull request #68 from zeldin/master

Add -noautowire option to verilog frontend

9 years agoAdd -noautowire option to verilog frontend
Marcus Comstedt [Sat, 1 Aug 2015 10:16:54 +0000 (12:16 +0200)]
Add -noautowire option to verilog frontend

9 years agoAdded WORDS parameter to $meminit
Clifford Wolf [Fri, 31 Jul 2015 08:40:09 +0000 (10:40 +0200)]
Added WORDS parameter to $meminit

9 years agoFixed flatten $meminit handling
Clifford Wolf [Thu, 30 Jul 2015 19:43:41 +0000 (21:43 +0200)]
Fixed flatten $meminit handling

9 years agoImprovements in BLIF back-end
Clifford Wolf [Wed, 29 Jul 2015 15:06:19 +0000 (17:06 +0200)]
Improvements in BLIF back-end

9 years agoFixed nested mem2reg
Clifford Wolf [Wed, 29 Jul 2015 14:37:08 +0000 (16:37 +0200)]
Fixed nested mem2reg

9 years agoDon't write a 17th memory bit in ice40/cells_sim (by Larry Doolittle)
Clifford Wolf [Mon, 27 Jul 2015 20:44:01 +0000 (22:44 +0200)]
Don't write a 17th memory bit in ice40/cells_sim (by Larry Doolittle)

9 years agoFixed "check" command for inout ports
Clifford Wolf [Mon, 27 Jul 2015 07:54:58 +0000 (09:54 +0200)]
Fixed "check" command for inout ports

9 years agoSome cleanups in opt_rmdff
Clifford Wolf [Sat, 25 Jul 2015 10:01:25 +0000 (12:01 +0200)]
Some cleanups in opt_rmdff

9 years agoAdded "miter -assert"
Clifford Wolf [Sat, 25 Jul 2015 09:23:45 +0000 (11:23 +0200)]
Added "miter -assert"

9 years agoKeep modules with $assume (like $assert)
Clifford Wolf [Sat, 25 Jul 2015 08:31:52 +0000 (10:31 +0200)]
Keep modules with $assume (like $assert)

9 years agoImproved $adff simplification
Clifford Wolf [Fri, 24 Jul 2015 12:12:50 +0000 (14:12 +0200)]
Improved $adff simplification

9 years agoiCE40 DFF sim models: init Q regs to 0
Clifford Wolf [Mon, 20 Jul 2015 11:05:18 +0000 (13:05 +0200)]
iCE40 DFF sim models: init Q regs to 0

9 years agoFixed techmap processes error msg
Clifford Wolf [Sat, 18 Jul 2015 10:16:27 +0000 (12:16 +0200)]
Fixed techmap processes error msg

9 years agoAvoid tristate warning for blackbox ice40/cells_sim.v
Clifford Wolf [Sat, 18 Jul 2015 09:59:04 +0000 (11:59 +0200)]
Avoid tristate warning for blackbox ice40/cells_sim.v

9 years agoSome fixes in "select" command
Clifford Wolf [Thu, 16 Jul 2015 20:10:26 +0000 (22:10 +0200)]
Some fixes in "select" command

9 years agoFixed YosysJS.create_worker() usage of this.url_prefix
Clifford Wolf [Fri, 10 Jul 2015 11:20:57 +0000 (13:20 +0200)]
Fixed YosysJS.create_worker() usage of this.url_prefix

9 years agoImproved liberty file test case
Clifford Wolf [Mon, 6 Jul 2015 15:45:56 +0000 (17:45 +0200)]
Improved liberty file test case

9 years agoUpdated ABC
Clifford Wolf [Mon, 6 Jul 2015 15:45:40 +0000 (17:45 +0200)]
Updated ABC

9 years agoDo not collect disabled $memwr cells
Clifford Wolf [Mon, 6 Jul 2015 11:28:00 +0000 (13:28 +0200)]
Do not collect disabled $memwr cells

9 years agoImproved YosysJS WebWorker API
Clifford Wolf [Sat, 4 Jul 2015 15:08:44 +0000 (17:08 +0200)]
Improved YosysJS WebWorker API

9 years agoBugfix in fsm_extract
Clifford Wolf [Fri, 3 Jul 2015 16:42:36 +0000 (18:42 +0200)]
Bugfix in fsm_extract

9 years agoAdded "synth -nofsm"
Clifford Wolf [Thu, 2 Jul 2015 13:25:38 +0000 (15:25 +0200)]
Added "synth -nofsm"

9 years agoFixed trailing whitespaces
Clifford Wolf [Thu, 2 Jul 2015 09:14:30 +0000 (11:14 +0200)]
Fixed trailing whitespaces

9 years agoAdded opt_const -clkinv
Clifford Wolf [Wed, 1 Jul 2015 08:49:21 +0000 (10:49 +0200)]
Added opt_const -clkinv

9 years agoAdded logic-loop error handling to freduce
Clifford Wolf [Tue, 30 Jun 2015 15:11:46 +0000 (17:11 +0200)]
Added logic-loop error handling to freduce

9 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Mon, 29 Jun 2015 23:49:55 +0000 (01:49 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

9 years agoBugfix in chparam
Clifford Wolf [Mon, 29 Jun 2015 23:38:34 +0000 (01:38 +0200)]
Bugfix in chparam