yosys.git
5 years agoCompile abc9
Eddie Hung [Fri, 8 Feb 2019 21:58:47 +0000 (13:58 -0800)]
Compile abc9

5 years agoRefactor kernel/cost.h definition into cost.cc
Eddie Hung [Fri, 8 Feb 2019 21:58:20 +0000 (13:58 -0800)]
Refactor kernel/cost.h definition into cost.cc

5 years agoCopy abc.cc to abc9.cc
Eddie Hung [Fri, 8 Feb 2019 21:23:54 +0000 (13:23 -0800)]
Copy abc.cc to abc9.cc

5 years agoaddDff -> addDffGate as per @daveshah1
Eddie Hung [Fri, 8 Feb 2019 21:17:53 +0000 (13:17 -0800)]
addDff -> addDffGate as per @daveshah1

5 years agoFix tabulation
Eddie Hung [Fri, 8 Feb 2019 21:17:02 +0000 (13:17 -0800)]
Fix tabulation

5 years ago-module_name arg to go before -clk_name
Eddie Hung [Fri, 8 Feb 2019 20:49:55 +0000 (12:49 -0800)]
-module_name arg to go before -clk_name

5 years agoSupport and differentiate between ASCII and binary AIG testing
Eddie Hung [Fri, 8 Feb 2019 20:41:59 +0000 (12:41 -0800)]
Support and differentiate between ASCII and binary AIG testing

5 years agoAdd missing "[options]" to read_blif help
Eddie Hung [Fri, 8 Feb 2019 20:41:39 +0000 (12:41 -0800)]
Add missing "[options]" to read_blif help

5 years agoAllow module name to be determined by argument too
Eddie Hung [Fri, 8 Feb 2019 20:40:43 +0000 (12:40 -0800)]
Allow module name to be determined by argument too

5 years agoRefactor into AigerReader class
Eddie Hung [Fri, 8 Feb 2019 20:04:26 +0000 (12:04 -0800)]
Refactor into AigerReader class

5 years agoParse binary AIG files
Eddie Hung [Fri, 8 Feb 2019 19:45:16 +0000 (11:45 -0800)]
Parse binary AIG files

5 years agoAdd binary AIGs converted from AAG
Eddie Hung [Fri, 8 Feb 2019 19:41:25 +0000 (11:41 -0800)]
Add binary AIGs converted from AAG

5 years agoRefactor to parse_aiger_header()
Eddie Hung [Fri, 8 Feb 2019 18:54:31 +0000 (10:54 -0800)]
Refactor to parse_aiger_header()

5 years agoAdd comment
Eddie Hung [Fri, 8 Feb 2019 16:37:44 +0000 (08:37 -0800)]
Add comment

5 years agoHandle reset logic in latches
Eddie Hung [Fri, 8 Feb 2019 16:37:18 +0000 (08:37 -0800)]
Handle reset logic in latches

5 years agoChange literal vars from int to unsigned
Eddie Hung [Fri, 8 Feb 2019 16:09:30 +0000 (08:09 -0800)]
Change literal vars from int to unsigned

5 years agoCreate clk outside of latch loop
Eddie Hung [Fri, 8 Feb 2019 16:08:49 +0000 (08:08 -0800)]
Create clk outside of latch loop

5 years agoHandle latch symbols too
Eddie Hung [Fri, 8 Feb 2019 16:05:27 +0000 (08:05 -0800)]
Handle latch symbols too

5 years agoRemove return after log_error
Eddie Hung [Fri, 8 Feb 2019 16:04:48 +0000 (08:04 -0800)]
Remove return after log_error

5 years agoAdd support for symbol tables
Eddie Hung [Fri, 8 Feb 2019 16:03:40 +0000 (08:03 -0800)]
Add support for symbol tables

5 years agoStub for binary AIGER
Eddie Hung [Fri, 8 Feb 2019 15:31:04 +0000 (07:31 -0800)]
Stub for binary AIGER

5 years agoRefactor
Eddie Hung [Wed, 6 Feb 2019 22:58:47 +0000 (14:58 -0800)]
Refactor

5 years agoMerge branch 'dff_init' of https://github.com/eddiehung/yosys into xaig
Eddie Hung [Wed, 6 Feb 2019 22:31:11 +0000 (14:31 -0800)]
Merge branch 'dff_init' of https://github.com/eddiehung/yosys into xaig

5 years agoRevert most of autotest.sh; for non *.v use Yosys to translate
Eddie Hung [Wed, 6 Feb 2019 22:30:19 +0000 (14:30 -0800)]
Revert most of autotest.sh; for non *.v use Yosys to translate

5 years agoRefactor
Eddie Hung [Wed, 6 Feb 2019 22:28:44 +0000 (14:28 -0800)]
Refactor

5 years agowrite_verilog to cope with init attr on q when -noexpr
Eddie Hung [Wed, 6 Feb 2019 22:17:09 +0000 (14:17 -0800)]
write_verilog to cope with init attr on q when -noexpr

5 years agoAdd INIT parameter to all ff/latch cells
Eddie Hung [Wed, 6 Feb 2019 22:16:26 +0000 (14:16 -0800)]
Add INIT parameter to all ff/latch cells

5 years agoAdd tests for simple cases using defparam
Eddie Hung [Wed, 6 Feb 2019 22:15:17 +0000 (14:15 -0800)]
Add tests for simple cases using defparam

5 years agoAdd -B option to autotest.sh to append to backend_opts
Eddie Hung [Wed, 6 Feb 2019 22:14:55 +0000 (14:14 -0800)]
Add -B option to autotest.sh to append to backend_opts

5 years agoExtend testcase
Eddie Hung [Wed, 6 Feb 2019 22:02:11 +0000 (14:02 -0800)]
Extend testcase

5 years agoAdd testcase
Eddie Hung [Wed, 6 Feb 2019 20:49:30 +0000 (12:49 -0800)]
Add testcase

5 years agoRename ASCII tests
Eddie Hung [Wed, 6 Feb 2019 20:20:36 +0000 (12:20 -0800)]
Rename ASCII tests

5 years agoWIP
Eddie Hung [Wed, 6 Feb 2019 20:19:48 +0000 (12:19 -0800)]
WIP

5 years agoAdd missing blackslash-to-slash convertion to smtio.py (matching Smt2Worker::get_id...
Clifford Wolf [Wed, 6 Feb 2019 15:35:59 +0000 (16:35 +0100)]
Add missing blackslash-to-slash convertion to smtio.py (matching Smt2Worker::get_id() behavior)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd tests
Eddie Hung [Tue, 5 Feb 2019 00:46:24 +0000 (16:46 -0800)]
Add tests

5 years agoMerge pull request #798 from mmicko/master
Clifford Wolf [Sun, 27 Jan 2019 08:25:18 +0000 (09:25 +0100)]
Merge pull request #798 from mmicko/master

Fixed Anlogic simulation model

5 years agoMerge pull request #800 from whitequark/write_verilog_tribuf
Clifford Wolf [Sun, 27 Jan 2019 08:23:41 +0000 (09:23 +0100)]
Merge pull request #800 from whitequark/write_verilog_tribuf

write_verilog: write $tribuf cell as ternary

5 years agoMerge branch 'whitequark-write_verilog_keyword'
Clifford Wolf [Sun, 27 Jan 2019 08:17:29 +0000 (09:17 +0100)]
Merge branch 'whitequark-write_verilog_keyword'

5 years agoRemove asicworld tests for (unsupported) switch-level modelling
Clifford Wolf [Sun, 27 Jan 2019 08:17:02 +0000 (09:17 +0100)]
Remove asicworld tests for (unsupported) switch-level modelling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agowrite_verilog: write $tribuf cell as ternary.
whitequark [Sun, 27 Jan 2019 00:21:31 +0000 (00:21 +0000)]
write_verilog: write $tribuf cell as ternary.

5 years agowrite_verilog: escape names that match SystemVerilog keywords.
whitequark [Sat, 26 Jan 2019 23:55:46 +0000 (23:55 +0000)]
write_verilog: escape names that match SystemVerilog keywords.

5 years agoMerge pull request #796 from whitequark/proc_clean_typo
David Shah [Fri, 25 Jan 2019 21:33:06 +0000 (21:33 +0000)]
Merge pull request #796 from whitequark/proc_clean_typo

proc_clean: fix critical typo

5 years agoFixed Anlogic simulation model
Miodrag Milanovic [Fri, 25 Jan 2019 18:25:25 +0000 (19:25 +0100)]
Fixed Anlogic simulation model

5 years agoproc_clean: fix critical typo.
whitequark [Wed, 23 Jan 2019 22:08:38 +0000 (22:08 +0000)]
proc_clean: fix critical typo.

5 years agoMerge pull request #793 from whitequark/proc_clean_fix_fully_def
Clifford Wolf [Sat, 19 Jan 2019 08:31:17 +0000 (09:31 +0100)]
Merge pull request #793 from whitequark/proc_clean_fix_fully_def

proc_clean: fix fully def check to consider compare/signal length

5 years agoproc_clean: fix fully def check to consider compare/signal length.
whitequark [Fri, 18 Jan 2019 23:22:02 +0000 (23:22 +0000)]
proc_clean: fix fully def check to consider compare/signal length.

Fixes #790.

5 years agoCleanups in igloo2 example design
Clifford Wolf [Thu, 17 Jan 2019 13:54:04 +0000 (14:54 +0100)]
Cleanups in igloo2 example design

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd SF2 IO buffer insertion
Clifford Wolf [Thu, 17 Jan 2019 13:38:37 +0000 (14:38 +0100)]
Add SF2 IO buffer insertion

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove Igloo2 example
Clifford Wolf [Thu, 17 Jan 2019 12:35:52 +0000 (13:35 +0100)]
Improve Igloo2 example

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "synth_sf2 -vlog", fix "synth_sf2 -edif"
Clifford Wolf [Thu, 17 Jan 2019 12:33:45 +0000 (13:33 +0100)]
Add "synth_sf2 -vlog", fix "synth_sf2 -edif"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "write_edif -gndvccy"
Clifford Wolf [Thu, 17 Jan 2019 12:33:11 +0000 (13:33 +0100)]
Add "write_edif -gndvccy"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd optional nullstr argument to log_id()
Clifford Wolf [Sun, 13 Jan 2019 16:00:58 +0000 (17:00 +0100)]
Add optional nullstr argument to log_id()

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix handling of $shiftx in Verilog back-end
Clifford Wolf [Tue, 15 Jan 2019 09:55:27 +0000 (10:55 +0100)]
Fix handling of $shiftx in Verilog back-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #788 from whitequark/master
Clifford Wolf [Tue, 15 Jan 2019 08:52:01 +0000 (09:52 +0100)]
Merge pull request #788 from whitequark/master

Document $tribuf and some gates

5 years agoMerge pull request #787 from whitequark/flowmap_relax
Clifford Wolf [Tue, 15 Jan 2019 08:50:58 +0000 (09:50 +0100)]
Merge pull request #787 from whitequark/flowmap_relax

flowmap: implement depth relaxation

5 years agomanual: document some gates.
whitequark [Mon, 14 Jan 2019 16:17:25 +0000 (16:17 +0000)]
manual: document some gates.

5 years agomanual: explain $tribuf cell.
whitequark [Mon, 14 Jan 2019 16:08:58 +0000 (16:08 +0000)]
manual: explain $tribuf cell.

5 years agoImprove igloo2 example
Clifford Wolf [Tue, 8 Jan 2019 19:16:36 +0000 (20:16 +0100)]
Improve igloo2 example

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoflowmap: clean up terminology.
whitequark [Tue, 8 Jan 2019 02:05:06 +0000 (02:05 +0000)]
flowmap: clean up terminology.

  * "map": group gates into LUTs;
  * "pack": replace gates with LUTs.

This is important because we have FlowMap and DF-Map, and currently
our messages are ambiguous.

Also clean up some other log messages while we're at it.

5 years agoflowmap: implement depth relaxation.
whitequark [Fri, 4 Jan 2019 13:06:51 +0000 (13:06 +0000)]
flowmap: implement depth relaxation.

5 years agoFix typo in manual
Clifford Wolf [Mon, 7 Jan 2019 09:07:28 +0000 (10:07 +0100)]
Fix typo in manual

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoBugfix in $memrd sharing
Clifford Wolf [Mon, 7 Jan 2019 09:01:11 +0000 (10:01 +0100)]
Bugfix in $memrd sharing

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #782 from whitequark/flowmap_dfs
Clifford Wolf [Mon, 7 Jan 2019 08:47:57 +0000 (09:47 +0100)]
Merge pull request #782 from whitequark/flowmap_dfs

 flowmap: construct a max-volume max-flow min-cut, not just any one

5 years agoSwitch "bugpoint" from system() to run_command()
Clifford Wolf [Mon, 7 Jan 2019 08:45:21 +0000 (09:45 +0100)]
Switch "bugpoint" from system() to run_command()

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #783 from whitequark/bugpoint
Clifford Wolf [Mon, 7 Jan 2019 08:42:17 +0000 (09:42 +0100)]
Merge pull request #783 from whitequark/bugpoint

bugpoint: new pass

5 years agobugpoint: new pass.
whitequark [Mon, 7 Jan 2019 00:11:49 +0000 (00:11 +0000)]
bugpoint: new pass.

A typical use of `bugpoint` would involve a script with a pass under
test, e.g.:

    flowmap -relax -optarea 100

and would be invoked as:

    bugpoint -yosys ./yosys -script flowmap.ys -clean -cells

This replaces the current design with the minimal design that still
crashes the `flowmap.ys` script.

`bugpoint` can also be used to perform generic design minimization
using `select`, e.g. the following script:

    select i:* %x t:$_MUX_ %i -assert-max 0

would remove all parts of the design except for an unbroken path from
an input to an output port that goes through exactly one $_MUX_ cell.
(The condition is inverted.)

5 years agoflowmap: construct a max-volume max-flow min-cut, not just any one.
whitequark [Sun, 6 Jan 2019 19:51:37 +0000 (19:51 +0000)]
flowmap: construct a max-volume max-flow min-cut, not just any one.

5 years agoMerge pull request #780 from phire/rename_from_wire
Clifford Wolf [Sun, 6 Jan 2019 10:35:31 +0000 (11:35 +0100)]
Merge pull request #780 from phire/rename_from_wire

Rename cells based on the wires they drive.

5 years agoRename cells based on the wires they drive.
Scott Mansell [Sun, 6 Jan 2019 01:40:10 +0000 (14:40 +1300)]
Rename cells based on the wires they drive.

5 years agoAdd skeleton Yosys-Libero igloo2 example project
Clifford Wolf [Sat, 5 Jan 2019 16:02:01 +0000 (17:02 +0100)]
Add skeleton Yosys-Libero igloo2 example project

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoBugfix in Verilog string handling
Clifford Wolf [Sat, 5 Jan 2019 11:10:24 +0000 (12:10 +0100)]
Bugfix in Verilog string handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoflowmap: add -minlut option, to allow postprocessing with opt_lut.
whitequark [Fri, 4 Jan 2019 21:18:03 +0000 (21:18 +0000)]
flowmap: add -minlut option, to allow postprocessing with opt_lut.

5 years agoMerge pull request #777 from mmicko/achronix_cell_sim_fix
Clifford Wolf [Fri, 4 Jan 2019 14:18:18 +0000 (15:18 +0100)]
Merge pull request #777 from mmicko/achronix_cell_sim_fix

Fix cells_sim.v for Achronix FPGA

5 years agoFix cells_sim.v for Achronix FPGA
Miodrag Milanovic [Fri, 4 Jan 2019 14:15:23 +0000 (15:15 +0100)]
Fix cells_sim.v for Achronix FPGA

5 years agoRemove -m32 Verific eval lib build instructions
Clifford Wolf [Fri, 4 Jan 2019 14:03:29 +0000 (15:03 +0100)]
Remove -m32 Verific eval lib build instructions

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #776 from mmicko/unify_noflatten
Clifford Wolf [Fri, 4 Jan 2019 13:56:04 +0000 (14:56 +0100)]
Merge pull request #776 from mmicko/unify_noflatten

Unify usage of noflatten among architectures

5 years agoUpdate Verific default path
Clifford Wolf [Fri, 4 Jan 2019 13:44:35 +0000 (14:44 +0100)]
Update Verific default path

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoflowmap: cleanup for clarity. NFCI.
whitequark [Fri, 4 Jan 2019 04:54:20 +0000 (04:54 +0000)]
flowmap: cleanup for clarity. NFCI.

5 years agoUnify usage of noflatten among architectures
Miodrag Milanovic [Fri, 4 Jan 2019 10:37:25 +0000 (11:37 +0100)]
Unify usage of noflatten among architectures

5 years agoflowmap: improve debug graph output. NFC.
whitequark [Fri, 4 Jan 2019 02:46:27 +0000 (02:46 +0000)]
flowmap: improve debug graph output. NFC.

5 years agoflowmap: add link to longer version of paper. NFC.
whitequark [Fri, 4 Jan 2019 02:33:10 +0000 (02:33 +0000)]
flowmap: add link to longer version of paper. NFC.

5 years agoMerge pull request #775 from whitequark/opt_flowmap
Clifford Wolf [Thu, 3 Jan 2019 16:03:18 +0000 (17:03 +0100)]
Merge pull request #775 from whitequark/opt_flowmap

flowmap: new techmap pass

5 years agoflowmap: new techmap pass.
whitequark [Wed, 2 Jan 2019 14:09:53 +0000 (14:09 +0000)]
flowmap: new techmap pass.

5 years agoMerge pull request #770 from whitequark/opt_expr_cmp
Clifford Wolf [Wed, 2 Jan 2019 16:34:04 +0000 (17:34 +0100)]
Merge pull request #770 from whitequark/opt_expr_cmp

opt_expr: refactor and improve simplification of comparisons

5 years agoopt_expr: improve simplification of comparisons with large constants.
whitequark [Wed, 2 Jan 2019 05:04:28 +0000 (05:04 +0000)]
opt_expr: improve simplification of comparisons with large constants.

The idea behind this simplification is that a N-bit signal X being
compared with an M-bit constant where M>N and the constant has Nth
or higher bit set, it either always succeeds or always fails.

However, the existing implementation only worked with one-hot signals
for some reason. It also printed incorrect messages.

This commit adjusts the simplification to have as much power as
possible, and fixes other bugs.

5 years agoMerge pull request #755 from Icenowy/anlogic-dram-init
Clifford Wolf [Wed, 2 Jan 2019 15:28:18 +0000 (16:28 +0100)]
Merge pull request #755 from Icenowy/anlogic-dram-init

anlogic: implement DRAM initialization

5 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Clifford Wolf [Wed, 2 Jan 2019 14:53:50 +0000 (15:53 +0100)]
Merge branch 'master' of github.com:YosysHQ/yosys

5 years agoMerge pull request #750 from Icenowy/anlogic-ff-init
Clifford Wolf [Wed, 2 Jan 2019 14:52:22 +0000 (15:52 +0100)]
Merge pull request #750 from Icenowy/anlogic-ff-init

Initialization of Anlogic DFFs

5 years agoMerge pull request #773 from whitequark/opt_lut_elim_fixes
Clifford Wolf [Wed, 2 Jan 2019 14:45:29 +0000 (15:45 +0100)]
Merge pull request #773 from whitequark/opt_lut_elim_fixes

opt_lut: elimination fixes

5 years agoMerge pull request #772 from whitequark/synth_lut
Clifford Wolf [Wed, 2 Jan 2019 14:44:57 +0000 (15:44 +0100)]
Merge pull request #772 from whitequark/synth_lut

synth: add k-LUT mode

5 years agoMerge pull request #771 from whitequark/techmap_cmp2lut
Clifford Wolf [Wed, 2 Jan 2019 14:43:10 +0000 (15:43 +0100)]
Merge pull request #771 from whitequark/techmap_cmp2lut

cmp2lut: new techmap pass

5 years agoImprove VerificImporter support for writes to asymmetric memories
Clifford Wolf [Wed, 2 Jan 2019 14:33:43 +0000 (15:33 +0100)]
Improve VerificImporter support for writes to asymmetric memories

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix VerificImporter asymmetric memories error message
Clifford Wolf [Wed, 2 Jan 2019 14:05:23 +0000 (15:05 +0100)]
Fix VerificImporter asymmetric memories error message

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #769 from whitequark/typos
Clifford Wolf [Wed, 2 Jan 2019 13:47:18 +0000 (14:47 +0100)]
Merge pull request #769 from whitequark/typos

Fix typographical and grammatical errors and inconsistencies

5 years agoFix typographical and grammatical errors and inconsistencies.
whitequark [Fri, 7 Dec 2018 19:14:07 +0000 (19:14 +0000)]
Fix typographical and grammatical errors and inconsistencies.

The initial list of hits was generated with the codespell command
below, and each hit was evaluated and fixed manually while taking
context into consideration.

    DIRS="kernel/ frontends/ backends/ passes/ techlibs/"
    DIRS="${DIRS} libs/ezsat/ libs/subcircuit"
    codespell $DIRS -S *.o -L upto,iff,thru,synopsys,uint

More hits were found by looking through comments and strings manually.

5 years agoopt_lut: reflect changes in sigmap.
whitequark [Wed, 2 Jan 2019 10:21:58 +0000 (10:21 +0000)]
opt_lut: reflect changes in sigmap.

Otherwise, some LUTs will be missed during elimination.

5 years agoopt_lut: use a worklist, and revisit cells affected by elimination.
whitequark [Wed, 2 Jan 2019 09:36:32 +0000 (09:36 +0000)]
opt_lut: use a worklist, and revisit cells affected by elimination.

5 years agoopt_lut: count eliminated cells, and set opt.did_something for them.
whitequark [Wed, 2 Jan 2019 08:40:01 +0000 (08:40 +0000)]
opt_lut: count eliminated cells, and set opt.did_something for them.

5 years agosynth_ice40: use 4-LUT coarse synthesis mode.
whitequark [Wed, 2 Jan 2019 08:25:55 +0000 (08:25 +0000)]
synth_ice40: use 4-LUT coarse synthesis mode.

5 years agosynth: add k-LUT mode.
whitequark [Wed, 2 Jan 2019 08:25:03 +0000 (08:25 +0000)]
synth: add k-LUT mode.